Low Power BIST Techniques Ketan Nilkanth Kulkarni Texas A & M University [email protected]

Venkata Rajesh Mekala Texas A & M University [email protected]

operations. (3) In a SoC, concurrent testing is frequently employed to reduce test application time, which may result in excessive energy and power dissipation. This elevated test power may be responsible for several kinds of problems such as instant circuit damage, increased product costs, decreased system reliability, performance degradation, reduced autonomy of portable systems and decrease of overall yield. The aim of low power test techniques is to keep power dissipation during the test within permissible limits, while simultaneously avoiding fault coverage (FC) loss and increase in test application time. Some general solutions to the above have been sought. The use of special cooling equipment to remove excessive heat dissipated during test application becomes increasingly difficult and costly as tests are applied at higher levels of circuit integration, such as BIST at board and system levels. Testing at lower frequency is another alternative to achieve low power consumption, but this has the drawback of increasing the test time. Scaling of the supply voltages to minimize power during test is another approach. However by adopting this, some of the critical paths may fail to meet the timing requirements and this may cause incorrect functionality. Another common approach to reduce test power is multiphase clocking [2, 3] but the disadvantage of this is increased routing overhead and complexity during clock tree synthesis (CTS). It is also possible to reduce test power by splitting each scan chain into multiple scan chains and reducing the shift clock frequency. This is accomplished by using pairs of serial-in/ parallel-out shift registers and parallel-in/ serial-out shift register for bandwidth matching [4]. The main drawback of this technique is the induced area overhead.

Abstract Digital circuits consume more power in test mode than in normal operation because tests are conducted at maximum possible frequency to reduce test time, even though the operating frequency may be far lower. This may introduce test induced yield losses due to excessive power dissipation. To avoid reliability failure of the circuit under test (CUT) due to excessive temperature and current density, alternative low power techniques must be exploited. A Built in Self-Test (BIST) mechanism within a chip is a function that verifies all or a portion of the internal functionality of the chip. BISTs are nowadays commonly used to identify and diagnose faults in digital systems. Hence low power BIST assumes a significant importance in today’s IC industry. In this paper some of the important low power BIST techniques are reviewed. Keywords: BIST, low power.

1. Introduction With the ever increasing need for system integration, the trend today is to include a large number of functional blocks in the same VLSI device and to package such devices, often, in multi chip modules (MCMs) that comprise complex systems. However, this may lead to difficult testing problems in the manufacturing process and in the field [1]. With technology scaling, the transistor cost is steadily decreasing, but the test cost is slowly increasing.

1.1. Importance of low power testing

1.2. Importance of BIST

Generally, a circuit consumes more power in test mode than in normal mode [1], due to the following reasons: (1) The heat dissipation in a CMOS circuit is proportional to switching activity. The Design for Testability (DFT) circuitry, embedded in a circuit to reduce the test complexity, is often idle during normal operations but is intensively used in the test mode. (2) The test efficiency has been shown to have a high correlation with the toggle rate; hence in the test mode, the switching activity of all nodes is often several times higher than the activity during normal

A Built in Self-Test (BIST) mechanism within a chip is a function that verifies all or a portion of the internal functionality of the chip. Unless otherwise specified the word BIST means logic BIST (as opposed to memory BIST or MBIST) throughout the rest of the paper. BIST is incorporated into the chip at the design stage itself. The main purpose of BIST is to reduce the complexity (and hence the cost) of the external test equipment and to improve the reliability of the chip. BIST reduces cost in

1

two ways: (1) it reduces test duration and (2) it reduces the complexity of the test setup, by reducing the number of tester interface I/O signals. It is now widely accepted that BIST is crucial for safety and mission-critical applications [5].

circuit current and (2) charging and discharging of load capacitances during output switchings. For CMOS technology, dynamic power is the dominant source of power consumption, although this may change for future technologies. The energy 𝐸𝑖 consumed at node 𝑖 during the 1 2 time interval 𝑑 is given by 𝐸𝑖 = 𝑆𝑖 𝐹𝑖 𝐢0 𝑉𝑑𝑑 where 𝐢0 is the 2 minimum output capacitance of the circuit, 𝐹𝑖 is the fan-out and 𝑆𝑖 is the number of switchings. The weighted switching activity (WSA) in a period is defined as the product of the number of switchings at a circuit node times the fan-out. Thus π‘Šπ‘†π΄ = 𝑆𝑖 𝐹𝑖 [7]. The important low power BIST schemes are discussed in section 2 and section 3 concludes the paper.

1.3. BIST functionality For BIST pattern generation, in circuit test pattern generators (TPG) are commonly constructed from linear feedback shift registers (LFSR) or cellular automata [2]. The output response analyzers (ORA) are commonly constructed from multiple input shift registers (MISR). The MISR may be an LFSR that uses an extra XOR gate at the input of each LFSR stage for compaction of the output responses of the CUT during each shift operation. Figure 1 shows a typical logic BIST system. The TPG automatically generates test patterns for applications to the inputs of the CUT. The ORA compacts the output responses of the CUT into a signature.

2. Low power BIST methodologies The main low power BIST schemes [7] can be broadly categorized as follows: ο‚· LFSR tuning. ο‚· Low power test pattern generators. ο‚· Vector filtering of BIST patterns. ο‚· Circuit partitioning. ο‚· Power aware test scheduling algorithms. ο‚· Toggle suppression. ο‚· Reducing clock switching power. These are discussed in detail below.

2.1. LFSR tuning The aim of LFSR tuning is to find a way of decreasing the energy consumed during BIST by appropriately selecting the parameters (polynomial and seed) of the LFSR. The switching activity of the circuit nodes is used as a metric for the energy consumption [8]. Given a combinational circuit C with n inputs and a LFSR of size n (composed of n stages), the problem is to find a primitive polynomial 𝑃𝑖 and a seed 𝑆𝑖𝑗 of the LFSR = π‘™π‘“π‘ π‘Ÿ(𝑃𝑖 , 𝑆𝑖𝑗 ) such that the pseudo-random test sequence generated by π‘™π‘“π‘ π‘Ÿ(𝑃𝑖 , 𝑆𝑖𝑗 ) produces the lowest WSA in the circuit while achieving the highest or a predefined fault coverage. The impact of polynomial and seed selection of a LFSR on the switching activity generated in the circuit during test application was analyzed in [9] and it was shown that the polynomial selection does not influence the energy consumption, whereas the seed of the LFSR is a more important parameter regarding energy consumption. Therefore, a method based on a simulated annealing algorithm was proposed to select the seed of a given LFSR that provides the lowest energy consumption. The experimental results gathered on the ISCAS benchmarks showed variations of the weighted switching activity ranging from 147% to 889% according to the selected LFSR seed.

Figure 1. A typical logic BIST system A significant correlation exists between consecutive vectors applied to a circuit during its normal operation [6]. In contrast, during test time, the consecutive vectors of a sequence generated by an LFSR are proven to have low correlation and hence the switching activity in the circuit can be significantly higher during BIST than that during normal operation.

1.4. Definition of key terms. Here we define some key terms relevant to low power testing techniques [2]. Energy is defined as the total switching activity generated during test application. The average power is given by the ratio between the energy and the test time. Instantaneous Power is the value of power consumed at any given instant. Peak Power is the highest value of power at any given instant.

1.5. Modeling of power and switching Power consumption in CMOS circuits is classified into static and dynamic. Static power dissipation is due to leakage current or other current drawn continuously from the power supply. Dynamic dissipation is due to (1) short 2

2.2. Low power test pattern generators

2.3. Vector filtering of BIST patterns

The power consumed in a circuit also depends on the test vectors and on the sequence in which they are applied. In BIST circuits, the main constraint is to reduce the energy consumption without modifying the stuck-at fault coverage. In [10] a dual speed LFSR scheme was described with an objective to decrease the overall internal activity of the circuit by connecting inputs with elevated transition density to the slow speed LFSR and vice versa. A reduction of the average power and energy consumption between 13% and 70% with no loss of fault coverage was achieved. Note that this technique is applicable only in a test per clock BIST environment. In [11], the original LFSR was replaced by 2 out of phase LFSRs operating at half the clock rate of the original speed. The power dissipation is reduced not only in the CUT but also in the clock tree feeding the circuit. The results on benchmark circuits showed that average power reduction of up to 60 %, peak power reduction of up to 58 %, and energy reduction of up to 61 % can be achieved in the clock tree by using the proposed low power BIST scheme. Another approach [12] consists of inserting logic between the LFSR and the CUT to allow the generation of weighted random test patterns that reduce the switching activity in the circuit while maintaining a high fault coverage. The results on ISCAS benchmark circuits show that an energy reduction of up to 97.82% can be achieved compared to equi-probable random pattern testing. For test per scan BIST, a low transition random test pattern generator (LT-RTPG) was proposed in [13]. It involves inserting an AND gate and a toggle flip flop between the LFSR and the input of the scan chain to increase the correlation of neighboring bits in the scan vectors. The basic principle of operation is shown in figure 2.

Vector filtering BIST techniques are based on the observation that as the self test progresses, the detection capability of the pseudo random test vectors generated by the LFSR decreases quickly. Therefore many of the pseudo random test vectors do not detect new faults despite consuming a significant amount of energy. This idea was used in [14] and the WSA is reduced during test applications by inhibiting the LFSR during generation of these non detecting subsequences. The first step in developing the inhibiting structure is to allow detection of the first and last vectors of each non detecting sub-sequence in the complete sequence generated by the LFSR. For this purpose, a decoding logic is connected to the output of the LFSR which outputs value 1 after each detection of one of these vectors. Refer figure 3. After that, the inhibiting structure has to allow or prevent application of test patterns at the circuit inputs. A D flip-flop working in the toggle mode can hence be used to control the transmission of stimuli from the LFSR to the CUT. The transmission is activated or inhibited by means of a transmission gate network.

Figure 3. A test vector inhibiting structure This technique allows important reductions of the WSA during testing with an almost negligible area overhead. Moreover, it allows trade-off between the WSA reduction and the area overhead by simply adjusting the number of subsequences to be inhibited. The main advantage of this technique is that it allows a significant reduction of energy and average power consumption during testing. The drawback is the negative impact on circuit performance.

2.4. Circuit partitioning

Figure 2. Low Transition Random Test Pattern Generator

This approach consists in partitioning the original circuit into structural sub-circuits so that each sub-circuit can be successively tested through different BIST sessions. In partitioning the circuit and planning the test session, the average power, the peak power and the energy consumption during BIST are minimized at a low expense in terms of area overhead and with no loss of fault coverage. The only drawback of this approach is that it requires circuit design modification. In [15], a given test set is divided into groups, such that the tests in each group have compatible specifications in a subset of scan elements. When tests in a

Because the T flip flop holds its previous values until it receives a 1 on its inputs, the same value (0 or 1) is repeatedly scanned into the scan chain until the value at the output of the AND gate becomes 1. Hence if a large fan-in factor π‘˜ is used for the AND gate neighboring scan cells are assigned identical values in most test vectors. In this manner the number of transitions generated in the CUT can be significantly reduced. It is reported that 23-59% (1437%) reduction in the number of transitions are achieved for the ISCAS 89 benchmark circuits when the LT-RTPG patterns with π‘˜ = 2 (π‘˜ = 3) are applied to these circuits. 3

group are applied consecutively, the set of scan elements and the corresponding compatible values are not loaded again, and the elements are disabled during the capture cycle. It is necessary in this case to disable the clock signal to achieve scan chain disabling. In [16], a low-power pseudorandom BIST methodology based on scan chain disabling is described. The simple muxed D scan cell is shown in figure 4a.

Figure 5. Proposed BIST scheme [16]. Figure 4.a. A scan element [16].

However disabling a subset of the scan chains may have an adverse effect on the fault coverage for two reasons: (1) some of the circuit inputs (corresponding to disabled chains) will not be exercised by new input values. This will reduce the chances that new faults will be activated and propagated to the outputs. (2) Even if some of the faults are activated and propagated to the outputs, fault effects that are propagated to the inputs of scan elements corresponding to the disabled scan chains will not be captured. This may prevent some of the faults from being detected. Therefore, it may be necessary to run the test for more test cycles.

Figure 4.b. A modified scan element [16]. If it is not desirable to disable the clock signals due to clock tree design considerations, e.g., clock skew, scan chain disabling can be achieved by using a modified scan element as shown in figure 4b. To scan-in new data into this scan element, both the Scan Enable and Group Enable must be activated. When the Scan Enable input is not active, a response from the circuit is captured by the flipflop. When the Scan Enable input is active but the Group Enable is not active, the flip-flop re-captures its own data. Thus, the need for disabling the CLK signal to hold the current scan chain status is eliminated. Using the Group Enable signals, the test controller selects the scan chain group that will be loaded/ unloaded during a particular scan cycle. The full system architecture is shown in figure 5. The proposed scheme allows only one of the groups to be active (enabled) at any given scan cycle. Since only the scan chains in the active group will capture data and experience data shifts, switching activity in the logic caused by the data capture and scan shifts will be confined to the fan-out cone of the active scan chains. This will allow reduction in the peak and average power consumptions in the circuit under test.

2.5. Power aware test scheduling The objective of test scheduling is to find the best combination of blocks to be tested in parallel so that the overall test time is minimized and power constraint is satisfied. The test scheduling problem is in the general case NP-complete. However, it may be addressed by using a compatibility graph and heuristic-driven algorithms. A distributed BIST control scheme can be used, that can schedule the execution of each BIST element to keep power dissipation under specified limits. In [1], such a scheme is used in order to simplify the BIST execution of complex ICs, especially during higher levels of test activity. By this approach, the average power is reduced and consequently, the temperature related problems are avoided at the cost of increased test time duration. In [17], optimum test scheduling algorithms are presented for both equal and unequal test length cases under the power constraint. The algorithms consist of three basic steps, described as: (1) a complete set of time compatible tests are first found, with power dissipation information associated with each test. (2) Next, from these tests, the lists of power compatible tests are extracted. (3) Finally, the minimum cover table approach is used to find the optimal scheduling of the tests. For SoC testing, the techniques employed use a hybrid BIST test architecture where the test set is composed of core level locally generated pseudo random test patterns 4

chains is to assign don’t care bits present at the CUT inputs in a clever manner to minimize the number of transitions between 2 consecutive test patterns. This reduces both average and peak power during shift operations. This is termed as X-filling. Specific strategies include minimum transition filling (MTF), 0-filling and 1-filling.

and additionally deterministic test patterns that are generated offline and stored in the system. SoC testing consists of determining the blocks (memory, logic, analog, etc.) of a SoC to be tested in parallel at each stage of the BIST session in order to keep power dissipation under a specified limit while optimizing test time. Refer to figure 6.

2.7. Reducing clock switching power It has been shown that for circuits with a long scan path, the shifting operation contributes to more than 99% to the logic power dissipation during test [22]. Hence, average and peak power dissipation in a scan environment can be reduced by minimizing the switching activity during scan operation. In [2], the original scan path is divided into two new scan paths (Scan Path A and B), both being controlled by clock signals whose speed is half of the normal speed

Figure 6. Power aware test scheduling for SoC cores

𝐢𝐿𝐾𝐴 =

Thermal aware test scheduling has also been proposed to address the problem of chip overheating during the testing of complex core based systems [18-20]. The basic idea is to consider that the spatial distribution of power across the chip is non uniform so that imposing a chip level maximum power constraint during test scheduling does not necessarily avoid local overheating and hence destructive hotspots.

𝐢𝐿𝐾 2

, 𝐢𝐿𝐾𝐡 =

𝐢𝐿𝐾 2𝜎

. Refer to figures 8a and 8b.

Figure 8.a. The original scan chain data path

2.6. Toggle Suppression During scan shift operations, input values of the CUT fed by the scan chains change frequently creating a large amount of switching activity in the circuit. An approach used in toggle suppression is inhibiting the CUT’s inputs (not allowing them to switch) while shifting the test vector into the scan chain either by using a gated scheme or powering the CUT off. This was proposed in [13]. During shifting, the output of each scan element is highly active, whereas capturing the test response accounts for only little of the total power consumption. The power consumption dissipated in the CUT can be dramatically reduced by the usage of a modified shift register, which suppresses the activity at output 𝑄 during shift operation. Figure 7 shows a scan element with the desired properties. It was observed that blocking during pattern shifting by NOR or by NAND gates saves 78% of the total energy for testing on average.

Figure 8.b. Modified scan chain data path. The idea behind the use of such low power architecture is to reduce the number of transitions on inputs of the CUT at each clock cycle of the scan operation, hence reducing the overall switching activity. A shift operation is performed at each clock cycle of the scan operation. However, exactly one of the Scan Paths (A or B) is active at one time instant. The 2 clock signals are phase shifted and thus facilitate loading of the scan chain at the same speed, while reducing the switching frequency by 2. An output multiplexer is necessary for collecting the test response from the 2 scan chains into a response analyzer. To minimize intra-clock skews for the 2 clock domains, the original clock tree must also be modified.

3. Concluding Remarks In this paper, some important low power BIST techniques were reviewed. The salient features and limitations of the techniques were provided wherever possible. Thus, depending on the parameter trade-offs (FC, testing time, power consumption) a suitable methodology can be implemented for optimum results. It is anticipated that for VLSI designs at 65nm and below logic BIST and

Figure 7. Scan path with reduced output activity [13]. Another effective technique [21] for reducing switching while shifting in the test vector into the scan 5

testing," in VLSI Design, 1999. Proceedings. Twelfth International Conference On, 1999, pp. 416-422.

low power testing will gain more industry acceptance [7]. In the future generations, power aware or thermal aware test scheduling schemes will make a strong presence in the field of testing electronic chips.

[13]

S. Wang and S. K. Gupta, "LT-RTPG: a new test-perscan BIST TPG for low heat dissipation," in Test Conference, 1999. Proceedings. International, 1999, pp. 85-94.

[14]

P. Girard, L. Guiller, C. Landrault, and S. A. P. S. Pravossoudovitch, "A test vector inhibiting technique for low energy BIST design," in VLSI Test Symposium, 1999. Proceedings. 17th IEEE, 1999, pp. 407-412.

[15]

R. Sankaralingam, B. Pouya, and N. A. Touba, "Reducing power dissipation during test using scan chain disable," in VLSI Test Symposium, 19th IEEE Proceedings on. VTS 2001, 2001, pp. 319-324.

[16]

N. Z. Basturkmen, S. M. Reddy, and I. Pomeranz, "A low power pseudo-random BIST technique," in Computer Design: VLSI in Computers and Processors, 2002. Proceedings. 2002 IEEE International Conference on, 2002, pp. 468-473.

[17]

L. Whetsel, "Core test connectivity, communication, and control," in Test Conference, 1998. Proceedings., International, 1998, pp. 303-312.

R. M. Chou, K. K. Saluja, and V. D. Agrawal, "Power constraint scheduling of tests," in VLSI Design, 1994., Proceedings of the Seventh International Conference on, 1994, pp. 271-274.

[18]

A. Steininger and C. Scherrer, "On the necessity of online-BIST in safety-critical applications-a case-study," in Fault-Tolerant Computing, 1999. Digest of Papers. Twenty-Ninth Annual International Symposium on, 1999, pp. 208-215.

R. Paul, A.-H. Bashir, and C. Krishnendu, "Rapid Generation of Thermal-Safe Test Schedules," in Proceedings of the conference on Design, Automation and Test in Europe - Volume 2: IEEE Computer Society, 2005.

[19]

L. Chunsheng, K. Veeraraghavan, and V. Iyengar, "Thermal-aware test scheduling and hot spot temperature minimization for core-based systems," in Defect and Fault Tolerance in VLSI Systems, 2005. DFT 2005. 20th IEEE International Symposium on, 2005, pp. 552-560.

[20]

H. Zhiyuan, P. Zebo, E. Petru, R. Paul, and M. A.-H. Bashir, "Thermal-Aware SoC Test Scheduling with Test Set Partitioning and Interleaving," in Proceedings of the 21st IEEE International Symposium on on Defect and Fault-Tolerance in VLSI Systems: IEEE Computer Society, 2006.

[21]

K. M. Butler, J. Saxena, A. Jain, T. A. F. T. Fryars, J. A. L. J. Lewis, and G. A. H. G. Hetherington, "Minimizing power consumption in scan testing: pattern generation and DFT techniques," in Test Conference, 2004. Proceedings. ITC 2004. International, 2004, pp. 355364.

[22]

H. W. A Hertwig, "Low Power Serial Built-In SelfTest," 1998.

4. References [1]

Y. Zorian, "A distributed BIST control scheme for complex VLSI devices," in VLSI Test Symposium, 1993. Digest of Papers., Eleventh Annual 1993 IEEE, 1993, pp. 4-9.

[2]

Y. Bonhomme, P. Girard, L. Guiller, C. A. L. C. Landrault, and S. A. P. S. Pravossoudovitch, "A gated clock scheme for low power scan testing of logic ICs or embedded cores," in Test Symposium, 2001. Proceedings. 10th Asian, 2001, pp. 253-258.

[3]

[4]

[5]

P. Rosinger, B. M. Al-Hashimi, and N. Nicolici, "Scan architecture with mutually exclusive scan segment activation for shift- and capture-power reduction," Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 23, pp. 1142-1153, 2004.

[6]

W. Seongmoon, "Generation of low power dissipation and high fault coverage patterns for scan-based BIST," in Test Conference, 2002. Proceedings. International, 2002, pp. 834-843.

[7]

C. E. S. Laung-Terng Wang, Nur A. Touba, "System On Chip Test Architectures," 2008.

[8]

G. Stefan, rfer, and W. Hans-Joachim, "Minimized Power Consumption For Scan-Based Bist," in Proceedings of the 1999 IEEE International Test Conference: IEEE Computer Society, 1999.

[9]

L. G. P. Girard, C. Landrault, S. Pravossoudovitch, J. Figueras, S. Manich, P. Teixeira and M. Santos, "Low Energy BIST Design : Impact of the LFSR TPG Parameters on the Weighted Switching Activity," IEEE Int. Symp. on Circuits and Systems, CD-ROM proceedings, June 1999 1999.

[10]

W. Seongmoon and S. K. Gupta, "DS-LFSR: a new BIST TPG for low heat dissipation," in Test Conference, 1997. Proceedings., International, 1997, pp. 848-857.

[11]

P. Girard, L. Guiller, C. Landrault, S. A. P. S. Pravossoudovitch, and H. J. A. W. H. J. Wunderlich, "A modified clock scheme for a low power BIST test pattern generator," in VLSI Test Symposium, 19th IEEE Proceedings on. VTS 2001, 2001, pp. 306-311.

[12]

Z. Xiaodong, K. Roy, and S. Bhawmik, "POWERTEST: a tool for energy conscious weighted random pattern 6

Author Guidelines for 8

Digital circuits consume more power in test mode than in normal operation .... into a signature. Figure 1. A typical ..... The salient features and limitations of theΒ ...

448KB Sizes 3 Downloads 181 Views

Recommend Documents

Author Guidelines for 8
nature of surveillance system infrastructure, a number of groups in three ... developed as a Web-portal using the latest text mining .... Nguoi Lao Dong Online.

Author Guidelines for 8
The resulted Business model offers great ... that is more closely related to the business model of such an .... channels for the same physical (satellite, cable or terrestrial) ... currently under way is the integration of basic Internet access andΒ .

Author Guidelines for 8
three structures came from the way the speaker and channel ... The results indicate that the pairwise structure is the best for .... the NIST SRE 2010 database.

Author Guidelines for 8
replace one trigger with another, for example, interchange between the, this, that is ..... Our own software for automatic text watermarking with the help of ourΒ ...

Author Guidelines for 8
these P2P protocols only work in wired networks. P2P networks ... on wired network. For example, IP .... advantages of IP anycast and DHT-based P2P protocol.

Author Guidelines for 8
Instant wireless sensor network (IWSN) is a type of. WSN deployed for a class ... WSNs can be densely deployed in battlefields, disaster areas and toxic regionsΒ ...

Author Guidelines for 8
Feb 14, 2005 - between assigned tasks and self-chosen Γ’Β€ΒœownҀ tasks finding that users behave ... fewer queries and different kinds of queries overall. This finding implies that .... The data was collected via remote upload to a server for laterΒ ..

Author Guidelines for 8
National Oceanic & Atmospheric Administration. Seattle, WA 98115, USA [email protected] .... space (CSS) representation [7] of the object contour is thus employed. A model set consisting of 3 fish that belong to ... two sets of descending-ordered l

Author Guidelines for 8
idea of fuzzy window is firstly presented, where the similarity of scattering ... For years many approaches have been developed for speckle noise ... only a few typical non- square windows. Moreover, as the window size increases, the filtering perfor

Author Guidelines for 8
Ittiam Systems (Pvt.) Ltd., Bangalore, India. ABSTRACT. Noise in video influences the bit-rate and visual quality of video encoders and can significantly alter theΒ ...

Author Guidelines for 8
to their uniqueness and immutability. Today, fingerprints are most widely used biometric features in automatic verification and identification systems. There exists some graph-based [1,2] and image-based [3,4] fingerprint matching but most fingerprin

Author Guidelines for 8
sequences resulting in a total or partial removal of image motion. ..... Add noise. Add targets. Performance Measurement System. Estimate. Residual offset.

Author Guidelines for 8
application requests without causing severe accuracy and performance degradation, as .... capacity), and (3) the node's location (host address). Each information ... service also sends a message to the meta-scheduler at the initialization stageΒ ...

Author Guidelines for 8
camera's operation and to store the image data to a solid state hard disk drive. A full-featured software development kit (SDK) supports the core acquisition and.

Author Guidelines for 8 - Research at Google
Feb 14, 2005 - engines and information retrieval systems in general, there is a real need to test ... IR studies and Web use investigations is a task-based study, i.e., when a ... education, age groups (18 Ҁ“ 29, 21%; 30 Ҁ“ 39, 38%, 40. Ҁ“ 49, 25%

Author Guidelines for 8
There exists some graph-based [1,2] and image-based [3,4] fingerprint matching but most fingerprint verification systems require high degree of security and areΒ ...

Author Guidelines for 8
Suffering from the inadequacy of reliable received data and ... utilized to sufficiently initialize and guide the recovery ... during the recovery process as follows.

Author Guidelines for 8
smart home's context-aware system based on ontology. We discuss the ... as collecting context information from heterogeneous sources, such as ... create pre-defined rules in a file for context decision ... In order to facilitate the sharing of.

Author Guidelines for 8
affordable tools. So what are ... visualization or presentation domains: Local Web,. Remote Web ... domain, which retrieves virtual museum artefacts from AXTEΒ ...

Author Guidelines for 8
*Department of Computer Science, University of Essex, Colchester, United Kingdom ... with 20 subjects totaling 800 VEP signals, which are extracted whileΒ ...

Author Guidelines for 8
that through a data driven approach, useful knowledge can be extracted from this freely available data set. Many previous research works have discussed the.

Author Guidelines for 8
3D facial extraction from volume data is very helpful in ... volume graph model is proposed, in which the facial surface ..... Mathematics and Visualization, 2003.

Author Guidelines for 8
Feb 4, 2010 - adjusted by the best available estimate of the seasonal coefficient ... seeing that no application listens on the port, the host will reply with anΒ ...

Author Guidelines for 8
based systems, the fixed length low-dimension i-vectors are extracted by estimating the latent variables from ... machines (SVM), which are popular in i-vector based SRE system. [4]. The remainder of this paper is .... accounting for 95% of the varia