Effect of Ultraviolet Curing Wavelength on Low-k Dielectric Material Properties and Plasma Damage Resistance Premysl Marsik, Adam M. Urbanowicz, Patrick Verdonck, David De Roest, Hessel Sprey, Mikhail R. Baklanov PII: DOI: Reference:

S0040-6090(11)00404-4 doi: 10.1016/j.tsf.2011.01.339 TSF 28871

To appear in:

Thin Solid Films

Received date: Revised date: Accepted date:

17 January 2010 2 November 2010 25 January 2011

Please cite this article as: Premysl Marsik, Adam M. Urbanowicz, Patrick Verdonck, David De Roest, Hessel Sprey, Mikhail R. Baklanov, Effect of Ultraviolet Curing Wavelength on Low-k Dielectric Material Properties and Plasma Damage Resistance, Thin Solid Films (2011), doi: 10.1016/j.tsf.2011.01.339

This is a PDF file of an unedited manuscript that has been accepted for publication. As a service to our customers we are providing this early version of the manuscript. The manuscript will undergo copyediting, typesetting, and review of the resulting proof before it is published in its final form. Please note that during the production process errors may be discovered which could affect the content, and all legal disclaimers that apply to the journal pertain.

ACCEPTED MANUSCRIPT Effect of Ultraviolet Curing Wavelength on Low-k Dielectric Material Properties and Plasma Damage Resistance

T

Premysl Marsik1,2, Adam M. Urbanowicz1, Patrick Verdonck2, David De Roest3, Hessel

RI P

Sprey3 and Mikhail R. Baklanov2 1

2

NU

IMEC, Kapeldreef 75, 3001 Leuven, Belgium

SC

UFKL, Masaryk University, Kotlarska 2, 61137 Brno, Czech Republic

3

ASM Belgium, Kapeldreef 75, 3001 Leuven, Belgium

MA

Abstract

ED

A set of SiCOH low dielectric constant films (low-k) has been deposited by plasma enhanced chemical vapor deposition using variable flow rates of the porogen (sacrificial phase) and

PT

matrix precursors. During the deposition, two different substrate temperatures and radio frequency power settings were applied. Next, the deposited films were cured by the UV

AC CE

assisted annealing (UV-cure) using two industrial UV-light sources: a monochromatic UVsource with intensity maximum at λ=172 nm (lamp A) and a broadband UV-source with intensity spectrum distributed below 200 nm (lamp B). This set of various low-k films has been additionally exposed to NH3 plasma (used for the CuOx reduction during Cu/low-k integration) in order to evaluate the effect of the film preparation conditions on the plasma damage resistance of low-k material. Results show that the choice of the UV-curing light source has significant impact on the chemical composition of the low-k material and modifies the porogen removal efficiency and subsequently the material porosity. The 172 nm photons from lamp A induce greater changes to most of the evaluated properties, particularly causing undesired removal of Si–CH3 groups and their replacement with Si-H. The softer broadband radiation from lamp B improves the porogen removal efficiency, leaving less porogen

ACCEPTED MANUSCRIPT residues detected by spectroscopic ellipsometry in UV range. Furthermore, it was found that the degree of bulk hydrophilisation (plasma damage) after NH3 plasma exposure is driven

T

mainly by the film porosity.

RI P

I. INTRODUCTION

SC

In the present generation of silicon-based microelectronic, the traditional dielectric - silicon dioxide with dielectric constant (k-value) 3.9 - is being replaced by materials optimized for k-

NU

value demanded by the technology. For the application as inter-metal dielectric, the dielectric constant should be as low as possible to reduce the capacitance between the wires and

MA

therefore the signal delay of the interconnects [1,2].

ED

For the lowering of the dielectric constant (i.e. polarizibility) of the SiO2, the Si-O bonds have to be replaced with less polarizible ones [3,4] or their density has to be reduced by

PT

lowering the overall density of the material [5,6]. The actual porous SiCOH low-k dielectrics combine both approaches. The Si-O bonds are partly replaced by Si-CH3 ending groups,

AC CE

which are also important for reduction of the hydrophilicity of the SiO2. We investigated an industrial low-k dielectric material, deposited by plasma enhanced chemical vapor deposition (PE CVD) as a mixture of SiCOH matrix precursor and sacrificial phase - organic porogen. To remove the porogen and to create the porosity in the deposited material, ultraviolet-assisted thermal cure is applied (UV-cure) [7-9]. The UV-cure also improves the mechanical properties of the films. The two most commonly used low-k curing approaches are (i) thermal cure (annealing) without UV [10-13] and (ii) UV assisted thermal cure with monochromatic or broadband light sources [14-18]. Curing with electron beam is also possible [14]. The UV-cure is presently considered as the most efficient because it allows relatively fast porogen removal

ACCEPTED MANUSCRIPT without significant damage of low-k matrix [16]. Recent work of Grill et al. [11] focuses on behavior of annealed low-k films under variable deposition temperature, radio frequency (RF)

T

power and porogen choice. We compare the impact of the deposition process parameters on

RI P

the final film properties with the effect of UV-curing light, applying a monochromatic source with the photon energies of 7.2 eV (λ=172 nm, lamp A), and broadband UV source with

SC

photon energies lower than 6.2 eV (λ>200 nm, lamp B).

NU

It is known that the Si-CH3 bonds are cut by the UV photons [14-19], the energy threshold for the Si-CH3 scission has been estimated at approximately 6.5 eV from quantum-chemical

MA

calculations [20] and the fundamental difference between the UV-curing wavelengths in the effect on bonding structure of low-k films was already observed [15,17,18,21]. The Si-CH3

ED

bond is replaced by the Si-H bond or Si-O-Si crosslink, leading to shrinkage, densification, improved mechanical properties [16,19,22] and a rearrangement of the silica backbone

PT

towards the more rigid network structure [8,23].

AC CE

The choice of the deposition and curing conditions modifies the porogen removal efficiency. The porogen residue (carbon-rich byproduct of the porogen decomposition) has impact on the physical, chemical and mechanical properties of the low-k dielectric [11-13,24,25]. The possible existence of porogen residues was partially discussed in the recent work [10,16], but remains overlooked due to limited sensitivity of the conventional Fourier-transformed infra red (FTIR) spectroscopy to C=C absorbance band. We recently presented [26] sensitive method of the porogen residues detection, applying spectroscopic ellipsometry in the UV range [9,27]. The low-k dielectrics are exposed to various etching, stripping and cleaning plasmas in the Cu/low-k integration scheme. The chemical and mechanical stability is therefore a key merit of the material optimization. It was shown that the oxidizing and reducing plasma chemistries

ACCEPTED MANUSCRIPT used for the photoresist mask removal affect the porous dielectric [28,29], causing the -CH3 depletion in the surface layer, leading to the formation of hydrophilic SiO2 like material [30].

T

The following moisture adsorption from atmosphere deteriorates the dielectric properties and

RI P

therefore is highly undesired.

In this work, we study the effect of deposition and curing conditions on the physical and

SC

chemical structure of low-k films. UV-cured films are subsequently exposed to NH3 cleaning

NU

plasma [31] to find a process variable or property of the material responsible for the plasma damage.

MA

II. EXPERIMENT

ED

We have deposited 200 nm thick low-k films on top of un-doped 300 mm Si (100) wafers by PECVD (Plasma Enhanced Chemical Vapor Deposition) in ASM Eagle 12® system, using

PT

variable flow rates of the sacrificial porogen (CxHy) and SiCOH matrix (containing the Si-O

power.

AC CE

and Si-CH3 bonds) precursors and variable substrate temperature and radio frequency (RF)

Deposition conditions. Two precursor ratios were chosen in order to achieve (i) the material with a higher target porosity around 33%, and the target k-value of 2.3, denoted as “CVD1” (ratio 5:1 porogen to matrix precursor) and (ii) the material with a lower porosity around 25%, and the target k-value of 2.5, denoted as “CVD2” (ratio 2.6:1 porogen to matrix precursor). The deposition conditions were chosen as (i) higher substrate temperature (300 °C) and higher RF power (1850 – 1900 W), further denoted by the abbreviation “Hi” and (ii) lower temperature (250 °C) and lower RF power (1400 W), denoted by the abbreviation “Lo” in the sample label.

ACCEPTED MANUSCRIPT UV sources used for curing. The deposited films were UV-cured at 430°C in a nitrogenpurged ambient (pressure 6000 Pa). Two curing lamps were used for the experiment: (i)

T

monochromatic lamp “A” emitting photons with the energy of 7.2 eV (λ=172 nm) and (ii)

RI P

broadband lamp “B” with photon energies below 6.2 eV (λ>200 nm). For both lamps, two curing times were chosen as follows: shorter time “1” is a half of the longer time “2”, which

SC

is set to the curing time for standard process. For given film thickness, the optimal curing time (lowest k-value) for the monochromatic lamp is approximately 300 s and the optimal

NU

curing time for broadband lamp is roughly 2-3 times longer.

MA

By varying four process parameters as described above, we have obtained 16 (24) samples. The systematic labeling of the samples is presented in table I. Only already UV-cured

ED

samples are analyzed in the present work, however, the thicknesses have been measured

PT

before and after the UV-cure, allowing calculation of the shrinkage. Plasma damage resistance test. Two identical sets of 16 UV-cured samples were prepared.

AC CE

One set was exposed to cleaning plasma to evaluate the impact of the material properties on the plasma damage. We have applied 10 sec of NH3 plasma (used for the CuOx reduction during Cu/low-k integration) at 350 °C in the PECVD chamber, at the pressure of 560 Pa [30].

Analytical methods. The 32 samples (16 non-damaged and 16 NH3 plasma damaged) were analyzed by using FTIR, spectroscopic ellipsometry in the UV range (PUVSE), and ellipsometric porosimetry (EP). The infrared absorption in the range of 400-4000 cm-1 was measured using a FTIR spectrophotometer Biorad QS2200 ME, with 4 cm-1 spectral resolution. The optical response has been evaluated as absorbance. The resulting spectra were treated by substrate and baseline removal and normalization.

ACCEPTED MANUSCRIPT The optical response of the materials in the visible and ultraviolet range was measured using a variable-angle of incidence spectroscopic ellipsometer Sopra GES5 PUV in the range from

T

2 to 9 eV (wavelengths from 620 to 138 nm). The tool operates in the rotating analyzer and

RI P

tracking polarizer configuration with MgF2 Rochon cubes used as the polarizers, deuterium discharge light source and photomultiplier detector. For all of the samples, we have measured

SC

at the three angles of incidence: 60, 70 and 80°.

NU

The porosity measurements were performed using a prototype ellipsometric porosimeter EP10, equipped with a fixed angle of incidence (70°) ellipsometer Sentech 801, operating in

MA

the wavelength range between 350 and 850 nm, mounted on a high vacuum chamber with a controllable pressure of solvent (toluene or water) vapors. In the case of toluene, the

ED

measurement reveals the volume ratio of the open pores as well as the pore-size distribution (toluene based ellipsometric porosimetry) [32]. By using water as the absorbent (water based

PT

ellipsometric porosimetry – WEP), information about the internal hydrophilicity of the

AC CE

porous sample interior is obtained [33]. The degree of plasma-induced damage can be quantified using the surface or volume hydrophilicity of the film, from the FTIR -OH signature [34] or by measuring the thickness of the modified layer. The modified (damaged) layer can be detected by transmission electreom microscopy or time-of-flight secondary ion mass spectrometry [30] or by ellipsometry either directly [35] or after removal of the damaged surface layer with HF dip [29]. III. RESULTS AND DISCUSSION The results and discussion section is divided into two subsections. In the first subsection the effect of deposition and UV-curing conditions on physical-chemical properties of the low-k

ACCEPTED MANUSCRIPT films is discussed. In the second subsection the effect of NH3 plasma on differently prepared low-k films is investigated.

T

To reduce the amount of information collected from the performed analysis of 32 samples,

RI P

we present graphs showing only the most important relations between the individual quantities. Some other relations between properties of the 16 non-damaged and 16 plasma

SC

damaged samples will be quantified using Pearson’s correlation coefficients r. The value of

NU

the coefficient varies between r=1 (showing a strong positive correlation) and r=-1 (for a negative correlation – anticorrelation). Low absolute values of r indicate a weak coupling of

MA

the involved quantities.

A. Effect of deposition and curing conditions on physical-chemical properties of the low-

ED

k material

PT

In this subsection we show that the UV-cure with monochromatic lamp A is leading to stronger effects than broadband lamp B in most of the observed processes (shrinkage, SiO2

AC CE

network creation, -CH3 scission). The exception is the porogen (CHx) removal and the corresponding open porosity creation, where the broadband lamp B is more efficient. As expected, the increasing UV-curing time always introduce greater changes to the composition. Analysis of the volume composition shows a significant variance of the porogen residue content depending on both deposition and curing conditions. We found that the deposition of the low-k films on lower temperature and RF power modifies the pore structure and enhances the porogen removal efficiency. FTIR. Figure 1 presents the FTIR absorbance spectra of the deposited and UV-cured samples (shorter curing time not shown). We focused our attention to following features in the spectra [10,36,37]. The porogen CHx absorption band composed of multiple peaks is observed around 2900 cm-1; the highest peak at 2973 cm-1, attributed to C-H bond stretching in CH3,

ACCEPTED MANUSCRIPT shows the response both from porogen and from the methyl groups bonded in the SiCOH matrix. The signature of C-H bending in Si-CH3 is pronounced at 1275 cm-1 and 1412 cm-1.

T

The vibration of the Si-O-Si skeleton of the SiCOH material is observed as a dominant

RI P

double peak structure localized at 1135 cm-1 (cage) and 1063 cm-1 (network). The Si-H bond vibration is detected around 2220 cm-1 and at 890 cm-1. We observe also the presence of the

SC

C=C bonds in all the samples as a low-amplitude band at 1600 cm-1. The C=C band remains

NU

present during the UV-cure and is attributed to the decomposed porogen residues [26]. FTIR – porogen removal. One can see from the FTIR spectra that the most pronounced effect

MA

on the bonding structure of low-k material comes from the UV-curing wavelength (choice of UV-curing lamp). At the given curing times, UV-cure with lamp B results in a lower porogen

ED

CHx signature in most of the cases.

PT

We have fitted the CHx absorbance around 2900 cm-1 using four Gaussian peaks (at 2878 cm-1, 2918 cm-1, 2973 cm-1 and broad band at 2984 cm-1). The fitted parameters were used to

AC CE

calculate the integrated area, excluding the 2973 cm-1 peak attributed to CH3. To compare the UV-lamps, we have calculated 8 ratios (lamp A to lamp B) of the peak areas between samples in otherwise matching pairs. Then, the average ratio was 1.4 in favor of lamp B, which means 1.4x higher removal rate for lamp B between half of the optimal and optimal curing time. FTIR – Si-O-Si structure. There is also variability in the sample set related to the SiO2 backbone structure. Figure 2 shows the ratio of the fitted Gaussian peaks assigned to the network (around 1063 cm-1) and cage structure (around 1135 cm-1) of the SiO2 skeleton compared to the shrinkage of the film during the UV-cure. We observe that the ratio of network and cage fractions is related to the shrinkage, but only when looking at UV-cure effect (lamp and cure time) on given material (fixed deposition conditions). The four groups

ACCEPTED MANUSCRIPT of samples of the same material are marked in fig. 2. Within each group, the monochromatic lamp A induces bigger changes between the short and long curing time than the broadband

T

lamp B, but the short curing time with lamp B typically leads to higher values of shrinkage

RI P

and network/cage ratio then short exposure with lamp A. Such behavior might be explained by differing dynamics of the curing, with lamp B changing the properties rapidly in the first

SC

half of the optimal curing time, leaving them more stable in our scope, and lamp A causing steady changes up to the longer curing time. Between the groups, the described trends are

NU

suppressed in spite of porosity, which is the overall leading factor influencing the shrinkage

MA

and the network oxide formation: 1) The samples with higher porosities show also a higher shrinkage (with the correlation r=0.80) and 2) higher porosity is followed by a lower network/cage ratio (anticorrelation, r=-0.87). The former suggests that the pore collapse has a

ED

stronger impact on the material shrinkage than the replacement of Si–CH3 by Si–H, as

PT

commented below. The latter might be the result of a distortion of the matrix by the porogen removal: the more porogen is removed (higher porosity), the more disordered is the matrix

AC CE

(less network).

FTIR – CH3 depletion. We observe a strong anticorrelation (r=-0.86) of Si-CH3 absorption band positioned at 1275 cm-1 and the Si-H absorption band at 890 cm-1 (fig. 3). In all cases the increased curing time leads to a higher -CH3 depletion and Si-H generation and the effect is much stronger for the monochromatic 172 nm lamp A. The mechanism of the Si-CH3 scission and replacement by Si-H has been described in the literature [14,19]. Comparing the Si-CH3 removal and Si-H replacement data with the shrinkages of the samples, we cannot attribute this mechanism to be crucial for the shrinkage in our set of samples [16]. The higher shrinkage is related to a lower SiCH3 signature only through a weak anticorrelation (r=-0.27). As mentioned before, the porosity is dominating factor. In the set of 16 NH3 plasma damaged samples, the Si-CH3 and Si-H anticorrelation is reduced to r=-0.59 and the dependence of the

ACCEPTED MANUSCRIPT two parameters is modified. The change of gradient of the linear fit (see fig. 3) shows a stronger reduction of Si-H bonds during the plasma exposure and therefore suggests a higher

T

sensitivity of a Si-H rich material to further plasma induced changes. Nevertheless, as will be

RI P

discussed in subsection B, we cannot directly relate the plasma damage to Si-H content. VIS and UV spectroscopic ellipsometry and porosity. Differences related to the choice of

SC

lamp are observed in the optical measurements in visible range. Figure 4 shows the refractive

NU

index (RI) at the wavelength of 633 nm compared with the measured open porosity. The open porosity has been determined by the ellipsometric porosimetry. The CVD1 material deposited

MA

and cured under various conditions exhibits open porosities in the range of 32% to 41% and the CVD2 material exhibits open porosities in the range of 25% to 30%. Nevertheless, there

ED

is also a significant effect of the deposition conditions and of the curing lamp. The samples deposited using lower temperature and RF power are more porous and have a lower refractive

PT

index. The same is valid for the broadband lamp B compared to the monochromatic lamp A.

AC CE

The effect of the UV curing time is not clear in this plot, as both refractive index and porosity reach extreme (minimum resp. maximum) values between the two applied curing times. Therefore no simple trend is present within each pair of points. The reciprocal relation between the porosity and RI is expected, because the RI is related to density. Nevertheless, comparing the two materials (CVD1 and CVD2), one can see that the porosities are different, while the ranges of RI are similar. Spectroscopic ellipsometry in VIS and UV range is helpful for explanation of this observation. In figure 5, we have shown the refractive index and extinction coefficient dispersions obtained by fitting the ellipsometric data in the range of 2 to 9 eV. The measured spectra of ellipsometric angles Ψ and Δ [38] were fitted by single layer optical model (substrate – layer – ambient) using Marquardt-Levenberg algorithm. The dielectric function ε of the low-k film was modeled by generalized Gauss-Lorentz (G-L) peaks, calculated as rational

ACCEPTED MANUSCRIPT approximations [26,39]. The dielectric function ε is recalculated to refractive index and extinction coefficient.

T

We have selected only the samples with longer curing time for the plot, as the evolution of

RI P

the spectra during the UV-cure was described in the literature [26,27]. The optical properties of our low-k films are given by the nature of SiOx backbone, resulting in the overall Cauchy-

SC

like dispersion of refractive index, and the presence of an absorption edge above 8 eV. The

NU

porogen and its residues are observable as a triple-peak structure in absorption between 3 and 7 eV, and the corresponding structure in the refractive index dispersion, leading to changes in

presence of the porogen residues.

MA

the visible range [9,26,27]. Particularly, the RI value at 633 nm (1.96 eV) is shifted due to the

ED

The material CVD1 is more porous than CVD2; consequently, the refractive index is lower,

PT

which is valid only above 6 eV due to the mentioned role of the residues. Accordingly, CVD1 also contains more residues as the initial porogen content is higher. From the signature of the

AC CE

porogen in the UV absorption between 3 and 7 eV, we conclude that the lamp B is more efficient in the porogen removal then lamp A, and the deposition conditions also play an important role: using the lower deposition temperature and lower RF power setting (250 °C and 1400 W vs. 300 °C and 1900 W) leads to a lower porogen residue content. Volume composition. In our previous publication [26], we have proposed an interpretation to the optical response of the low-k materials in the visible and ultraviolet range in terms of Bruggeman mixture [40] of matrix SiCOH material, porogen and voids. The analysis of the optical spectra combined with porosity measured with the EP allows sensitive detection of the volume composition of the samples. Applying this method, we have obtained the compositions of the 16 UV-cured samples, summarized in table II. We estimate an

ACCEPTED MANUSCRIPT uncertainty of ~1% within the sample set, but by under different conditions (for example spectral range used for the effective media model fitting) all the values might be shifted.

T

For better understanding of the changes of composition during the UV-cure, we plotted in

RI P

figure 6 values of actual volume (in arbitrary volume units) of the fractions instead of the volume percentages from table II. Knowing the shrinkage of given film during the UV-cure

SC

we can normalize the composition to thickness of the sample, setting the as-deposited

NU

thickness as 100 a. u. Then the total height of a bar in figure 6 represents the relative thickness (or volume) of the sample and the individual parts of a bar are proportional to

MA

volumes of the fractions, relative to the initial volume.

As expected, we observe a significant difference between the matrix volume of the material

ED

CVD1 (deposited with less matrix precursor and more porogen) and CVD2 (more matrix

PT

precursor, less porogen). For both materials, the volume of the matrix is then only weakly dependent on the cure time, lamp and deposition conditions. The shrinkage occurs mostly

AC CE

through the reduction of porogen and void volume. However, in most of the cases, the matrix volume is reduced with increasing curing time (while the matrix fraction is growing in shrinking sample), and the effect is stronger for the monochromatic lamp A, which supports directly the proposed mechanism of shrinkage due to the -CH3 removal: 1) the mean volume (in a. u.) of the matrix in the case of CVD1 materials is 38.9 for CVD1A and 41.0 for CVD1B. In case of the CVD2 materials, the mean matrix volume is 60.0 for CVD2A and 62.8 for CVD2B. 2) The average difference in matrix volume between the short and long curing time is 2.6 for lamp A and 0.2 for lamp B. It should be noted that the porogen removal (creation of pores) is competing with the shrinkage of the samples (collapsing the pore volume). In case of material CVD1, we have observed reduction of the porosity by approximately 1-3% (~1-6 volume a. u.) for the longer

ACCEPTED MANUSCRIPT curing time compared to shorter curing time. In case of CVD2A the porosity doesn’t follow a clear trend and for CVD2B it is constant within the uncertainty limit of our measurements.

T

Nevertheless, all the samples shrink during the cure and accordingly the void volume is

RI P

reduced. We conclude that for given moderate curing times, pore collapse is stronger or comparable to porogen removal and both processes are responsible for the shrinkage. This is

SC

consistent with the fact that within the full set of samples, the higher shrinkages are observed

NU

on samples with higher porosities, as discussed above.

Ellipsometric porosimetry. The porosity and pore size distribution (PSD) was measured by

MA

EP for all 16 non-damaged samples. The overall shape of the PSD is similar to previously published results [2,6,9,32], therefore we represent here our measured distributions only by

ED

the modal value (most frequent pore radius, i.e. the position of PSD maximum) and by the width of the distribution (FWHM). For overview of the results see table II. Figure 7 shows

PT

the pore size and open porosity of the UV-cured samples. In most of the cases the detected

AC CE

pore size as well as the PSD width (table II) is greater for the samples cured by the broadband lamp B than those cured by the monochromatic lamp A. Significant variation to the PSD is observed comparing the samples deposited at differing conditions. The samples deposited using the lower temperature and RF power contain larger pores, with widely distributed sizes. The presence of a variety of different pore sizes might result in better interconnectivity, improve the porogen removal efficiency and eventually explain the different amount of porogen residues for the samples deposited under different conditions, when the other variables (i.e. material, curing lamp and time) are fixed. The two materials exhibit quite similar PSD, the pores in the lower-porosity material (CVD2) are smaller and the PSD is narrower compared to the high porosity material (CVD1). The UV curing time (in our experiment) does not change the PSD in most of the cases – no changes in

ACCEPTED MANUSCRIPT the pore size and PSD width are observed for curing with lamp B and only small changes are observed for lamp A, except the CVD1ALo sample.

T

To summarize this subsection, we discuss the influence of the controlled deposition and

RI P

curing conditions: 1) The difference of flow ratio of the precursors during deposition is clearly reflected in the composition. The CVD2 material (less porogen precursor) has lower

SC

porosity, more networked skeleton and exhibits less porogen residue than the CVD1 material.

NU

2) The deposition temperature and RF power has small effect on the FTIR, but changes the pore structure and size: the lower deposition temperature and RF power leads to higher

MA

porosities, larger pores and less residue at the same time. More data points would be needed to identify the responsible mechanism. 3) Monochromatic lamp A causes stronger depletion

ED

of Si bonded CH3 groups and supports the cage to network transition of the skeleton, but also leaves porogen residues. On the opposite, lamp B irradiation results in less residue (1.4x

PT

lower FTIR porogen CHx trace, 1.7x lower volume, lower RI), higher porosities and larger

AC CE

pores. 4) The impact of curing time is weak, (the two curing times used were both close to optimum), but most of the observed effects are more pronounced with increasing curing time. In the following subsection, the plasma damage is related to the observed properties of the non-damaged samples.

B. Plasma damage resistance test In order to evaluate the material properties responsible for the plasma damage resistance, we have exposed the samples to NH3 plasma for 10 s. The damage caused by the plasma has been evaluated and related to the properties presented in the previous subsection. The CuOx-reducing plasmas such as NH3 affect the porous low-k films by C-depletion (related to Si-CH3 bond scission, fig. 3) from the surface layer (usually tens of nanometers thick), followed by the hydrophilisation (measurable as the increase of WEP or presence of -

ACCEPTED MANUSCRIPT OH in infrared absorbance) and shrinkage (the collapse of damaged layer). The porogen residues are removed from the surface layer.

T

Water based ellipsometric porosimetry. The internal hydrophilicity of the non-damaged and

RI P

damaged samples has been measured by WEP (fig. 8). No correlation between the WEP values of the non-damaged and damaged samples can be found (r=0.02). Therefore we expect

SC

that the hydrophilicity in the non-damaged and damaged samples is driven by different

NU

mechanism. The water absorption in the non-damaged set is determined by the deposition and curing conditions: The samples deposited at the low temperature and RF power and cured by

MA

the broadband lamp B show least water absorption and vice versa. The open porosity of the material has no impact on the non-damaged hydrophilicity (r=-0.002), but a high correlation

ED

with the porogen residues (r=0.83) suggest that they may work as the condensation seeds for

PT

the water absorption (correlation with the Si-CH3 depletion or Si-H is very low as well). In the NH3 plasma damaged samples, the amount of absorbed water is driven by porosity

AC CE

(r=0.93) in two ways: the higher porosity allows an easier penetration of the plasmagenerated radicals and the higher porosity provides more space for the absorbed water, as the WEP percentages are close to the total open porosities. Here we have to note the fact that the higher porosity is a result of more efficient porogen removal and therefore the porogen residues might actually prevent or slow down the plasma damage process. FTIR. The FTIR absorption band between 3100 and 3800 cm-1 shows the presence of -OH groups, either bonded or in the water molecule. Figure 9 shows the relation of the absorption band of the -OH groups and H2O in the plasma damaged samples with the Si-H peak area in the non-damaged UV-cured samples, normalized to the SiO2 peak. As mentioned in subsection A, it was expected that Si-H presence in the UV-cured samples might reduce the plasma damage resistance. However, only a moderate correlation between the quantities was

ACCEPTED MANUSCRIPT found (r=0.46) and the trend is reversed with curing time. For 7 of 8 pairs of samples the increased curing times leads to a reduction of the -OH signature in the damaged samples. The

T

correlation of -OH presence with Si-CH3 is negative (as expected) and slightly higher than

RI P

with Si-H (r=-0.59). Neither of those parameters can be appointed as directly responsible for the plasma damage. In most of the cases, damaged samples cured by the monochromatic

SC

lamp A contain more -OH groups than the damaged samples cured by lamp B.

NU

The two above mentioned measures of plasma damage: the WEP percentage of absorbed water and the FTIR -OH signature might be correlated in some cases [34], but in our set of

MA

samples their relation is weak (r=0.53). This might be explained by the variability in porosity and pore-network structure.

ED

VIS and UV spectroscopic ellipsometry. The plasma exposure leads to the porogen residues

PT

removal. The top layer is more transparent and can be distinguished by the variable angle spectroscopic ellipsometry [35]; however, the interface between the non-damaged and

AC CE

damaged layer is not sharp and the modeling of the data suggested that the damaged layer can be separated to highly damaged top layer, which is already densified (higher RI) and less damaged mid-layer, where the residues are partly removed and the density is lower (lower RI). We have detected differences in the thickness of the damaged layer (bi-layer) related to material: The samples of material CVD1 with higher target porosity exhibit the depth of damage of (74 ± 4) nm and the samples of CVD2 with the lower target porosity exhibit the depth of damage of (55 ± 6) nm. We found that hydrophilicity of the plasma damaged films is not related to hydrophilicity of the films before NH3 plasma exposure. Among the parameters of the non-damaged samples, the Si-H content (primarily result of the lamp choice) has some weak impact on the detected -

ACCEPTED MANUSCRIPT OH content in the damaged samples. Porosity is the leading parameter determining the reduced hydrophobicity of the low-k film and the depth of damage.

RI P

T

IV. CONCLUSIONS The impact of the deposition and curing conditions of low-k materials on their basic

SC

properties, chemical composition and particularly the plasma damage resistance has been evaluated. We found that the choice of curing lamp has a strong impact on the physical-

NU

chemical properties of the low-k material. The monochromatic UV light source with λ=172 nm (lamp A) leads to the stronger Si-CH3 depletion, Si-H creation, cage to network transition,

MA

and shrinkage, compared to broadband UV source with λ>200 nm (lamp B). The low-k materials cured by lamp B show lower porogen CHx FTIR signature and lower porogen-

ED

related absorption in UV range, and therefore also lower RI. The modification of the

PT

deposition temperature and RF power leads to samples with similar structure of chemical bonds, as observed with FTIR, but can affect the porosity characteristics. As a result,

AC CE

materials deposited with lower temperature and RF power exhibit improved porogen removal efficiency: the porosity is increased and the amount of porogen residue is lower. We have used effective media model to estimate the volume composition of the samples as a mixture of matrix, voids, and porogen residue, using the VIS and UV ellipsometry data. The detected volume percentages of the porogen residues cover quite broad range from 3% to 23%. It was found that the actual volume of deposited matrix fraction is determined by the precursor flow ratio during the deposition and is not significantly reduced by the UV-cure, while the total volume of the low-k composite shrinks. The choice of UV-curing lamp has some weak impact on the actual matrix volume, but cannot be solely responsible for the observed shrinkages. Therefore, shrinkage is mostly result of pore-collapse during porogen removal and not directly related to replacement of Si-CH3 by Si-H.

ACCEPTED MANUSCRIPT Among the measured parameters of the UV-cured films, the porosity was found to be most important factor for the plasma damage in NH3 plasma.

RI P

T

ACKNOWLEDGEMENTS It is our pleasure to thank for the help and valuable contribution of S. Eslava, M. Pantouvaki,

SC

A. Ferchihchi, D. Shamiryan, G. Beyer (IMEC), H. Sprey, J. Beynet, K. Matsushita, N. Tsuji,

NU

S. Kaneko (ASM), S. Naumov, L. Prager (IOM, Leipzig) and J. Humlicek (MU, Brno).

[1]

MA

REFERENCES

K. Maex, M. R. Baklanov, D. Shamiryan, F. Iacopi, S. H. Brongersma, and Z. S.

ED

Yanovitskaya, J. Appl. Phys. 93 (2003) 8793. A. Grill, Annu. Rev. Mater. Res. 39 (2009) 49.

[3]

A. Grill and V. Patel, J. Appl. Phys. 85 (1999) 3314.

[4]

S. Jain, V. Zubkov, T. Nowak, A. Demos, and J. C. Rocha, Solid State Technol. 48

AC CE

(2005) 43.

PT

[2]

[5]

A. Grill and V. Patel, Appl. Phys. Lett. 79 (2001) 803.

[6]

A. Grill, V. Patel, K. P. Rodbell, E. Huang, M. R. Baklanov, K. P. Mogilnikov, M. Toney, and H. C. Kim, J. Appl. Phys. 94 (2003) 3427.

[7]

N. Kemeling, K. Matsushita, N. Tsuji, K. Kagami, M. Kato, S. Kaneko, H. Sprey, D. de Roest, and N. Kobayashi, Microelectron. Eng. 84 (2007) 2575.

[8]

P. Verdonck, D. De Roest, S. Kaneko, R. Caluwaerts, N. Tsuji, K. Matsushita, N. Kemeling, Y. Travaly, H. Sprey, M. Schaekers, and G. Beyer, Surf. Coat. Technol. 201 (2007) 9264.

[9]

P. Marsik, P. Verdonck, D. Schneider, D. De Roest, S. Kaneko and M. R. Baklanov, Phys. Stat. Sol. C 5 (2008) 1253.

ACCEPTED MANUSCRIPT [10]

S. M. Gates, D. A. Neumayer, M. H. Sherwood, A. Grill, X. Wang, and M. Sankarapandian, J. Appl. Phys. 101 (2007) 094103. A. Grill and V. Patel, J. Appl. Phys. 104 (2008) 024113.

[12]

L. Favennec, V. Jousseaume, G. Gerbaud, A. Zenasni, and G. Passemard, J. Appl.

RI P

T

[11]

Phys. 102 (2007) 064107.

A. Zenasni, F. Ciaramella, V. Jousseaume, C. Le Cornec, and G. Passemard, J.

SC

[13]

Electrochem. Soc. 154 (2007) G6.

S.I. Nakao, J. Ushio, T. Ohno, T. Hamada, Y. Kamigaki, M. Kato, K. Yoneda, S.

NU

[14]

MA

Kondo, N. Kobayashi, 9th International Interconnect Technology Conference (IITC), Ieee, Electron Devices Soc & Reliability Group, Burlingame, CA (2006) 66. [15]

S. Eslava, F. Iacopi, A. M. Urbanowicz, C. E. A. Kirschhock, K. Maex, J. A. Martens,

A. Zenasni, V. Jousseaume, P. Holliger, L. Favennec, O. Gourhant, P. Maury, and G.

PT

[16]

ED

and M. R. Baklanova, J. Electrochem. Soc. 155 (2008) G231.

Gerbaud, J. Appl. Phys. 102 (2007) 094107. A. M. Urbanowicz, B. Meshman, D. Schneider, and M. R. Baklanov, Phys. Status

AC CE

[17]

Solidi A 205 (2008) 829. [18]

T. S. Kim, N. Tsuji, K. Matsushita, N. Kobayashi, D. Chumakov, H. Geisler, E. Zschech, and R. H. Dauskardt, J. Appl. Phys. 104 (2008) 074113.

[19]

J. Ushio, T. Ohno, T. Hamada, S. I. Nakao, K. Yoneda, M. Kato, and N. Kobayashi, Jpn. J. Appl. Phys. Part 2 46 (2007) L405.

[20]

L. Prager, P. Marsik, J. W. Gerlach, M. R. Baklanov, S. Naumov, L. Pistol, D. Schneider, L. Wennrich, P. Verdonck, M. R. Buchmeiser, Microelectron. Eng. 85 (2008) 2094.

[21]

M. Matsuura, K. Goto, N. Miura, S. Hashii and K. Asai, Mater. Res. Soc. Symp. Proc. 914 (2006) 0914-F01-06.

ACCEPTED MANUSCRIPT [22]

F. Iacopi, Y. Travaly, B. Eyckens, C. Waldfried, T. Abell, E. P. Guyer, D. M. Gage, R. H. Dauskardt, T. Sajavaara, K. Houthoofd, P. Grobet, P. Jacobs, and K. Maex, J.

C. H. Huang, H. L. Huang, C. I. Hung, N. F. Wang, Y. H. Wang, and M. P. Houng,

RI P

[23]

T

Appl. Phys. 99 (2006) 053511.

Jpn. J. Appl. Phys. 47 (2008) 1532.

A. Zenasni, B. Remiat, C. Waldfried, Ch. Le Cornec, V. Jousseaume, G. Passemard,

SC

[24]

Thin Solid Films 516 (2008) 1097.

A. M. Urbanowicz, K. Vanstreels, D. Shamiryan, S. De Gendt and M. R. Baklanov,

NU

[25]

[26]

MA

Electrochem. Solid State Lett. 12 (2009) H292.

P. Marsik, P. Verdonck, D. De Roest and M. R. Baklanov, Thin Solid Films 518 (2010) 4266.

S. Eslava, G. Eymery, P. Marsik, F. Iacopi, C. E. A. Kirschhock, K. Maex, J. A.

ED

[27]

[28]

PT

Martens, and M. R. Baklanov, J. Electrochem. Soc. 155 (2008) G115. N. Posseme, T. Chevolleau, T. David, M. Darnon, O. Louveau, and O. Joubert, J.

[29]

AC CE

Vac. Sci. Technol. B 25 (2007) 1928. X. F. Hua, M. S. Kuo, G. S. Oehrlein, P. Lazzeri, E. Iacob, M. Anderle, C. K. Inoki, T. S. Kuan, P. Jiang, and W. L. Wu, J. Vac. Sci. Technol. B 24 (2006) 1238. [30]

D. Shamiryan, M. R. Baklanov, S. Vanhaelemeersch, and K. Maex, J. Vac. Sci. Technol. B 20 (2002) 1923.

[31]

A. M. Urbanowicz, M. R. Baklanov, J. Heijlen, Y. Travaly and A. Cockburn, Electrochem. Electrochem. Solid State Lett. 10 (2007) G76.

[32]

M. R. Baklanov, K. P. Mogilnikov, V. G. Polovinkin, and F. N. Dultsev, J. Vac. Sci. Technol. B 18 (2000) 1385.

[33]

M. R. Baklanov, K. P. Mogilnikov, Q. Toan Le. Microelectron. Eng. 83 (2006) 2287.

[34]

A. M. Urbanowicz, D. Shamiryan, M. R. Baklanov, and S. De Gendt, Microelectron.

ACCEPTED MANUSCRIPT Eng. 85 (2008) 2164. [35]

P. Marsik, A. M. Urbanowicz, K. Vinokur, Y. Cohen and M. R. Baklanov, Materi.

E. Andideh, M. Lerner, G. Palmrose, S. El-Mansy, T. Scherban, G. H. Xu, and J. Blaine, J. Vac. Sci. Technol. B 22 (2004) 196.

RI P

[36]

T

Res. Soc. Symp. Proc. 1079 (2008) 1079-N07-04.

A. Grill and D. A. Neumayer, J. Appl. Phys. 94 (2003) 6697.

[38]

J. Humlicek, in: H. Tompkins, E. Irene (Eds.), Handbook of Ellipsometry, William

NU

Andrew Publishing, New York (2005).

SC

[37]

J. Humlicek, J. Quant. Spectrosc. Radiat. Transfer 27 (1982) 437.

[40]

D. E. Aspnes, Thin Solid Films 89 (1982) 249.

AC CE

PT

ED

MA

[39]

ACCEPTED MANUSCRIPT Table captions: TABLE I. The samples and their notation. The CVD1 or CVD 2 denotes the material, A or B

T

refers to lamp, Hi or Lo refers to higher or lower deposition temperature and RF power, and

RI P

the last digit 1 or 2 denotes increasing curing time.

SC

TABLE II. The structural parameters of the samples: porosity measured by EP, estimated

AC CE

PT

ED

MA

NU

matrix and residue percentage, measured shrinkage, modal pore radius and PSD width.

ACCEPTED MANUSCRIPT Figure captions: FIG. 1. Infra-red absorbance of UV-cured films; only samples with the longer curing time are

RI P

T

represented in the graph. FIG. 2. Ratio of FTIR peak areas related to SiO2 network and cage versus the shrinkage of the

SC

UV-cured films. Increasing symbol size denotes increasing curing time. The dashed arrow follows the direction to higher porosities.

NU

FIG 3. Area of FTIR peaks of Si-H versus Si-CH3 bond vibrations, normalized to peak area

MA

of SiO2 for UV-cured non-damaged samples and NH3 plasma damaged samples. Increasing symbol size denotes increasing curing time. The solid lines represent the linear regressions of

ED

the each respective 16 points.

FIG. 4. Porosity measured by toluene EP and the refractive index measured at 633 nm in

PT

vacuum. Increasing symbol size denotes increasing curing time.

AC CE

FIG. 5. The optical functions of selected UV-cured samples; only samples with the longer curing time are represented in the graph. FIG. 6. Estimated compositions of the samples recalculated to arbitrary volume units using the measured shrinkage. The voids are measured by EP (open porosity). FIG. 7. The pore radius and the open porosity of the samples measured by ellipsometric porosimetry. FIG. 8. The amount of absorbed water at the saturation pressure measured by water based EP for the UV-cured non-damaged samples as a function of porogen residues percentage (left) and for the NH3 plasma damaged samples as a function of porosity (right). Increasing symbol

ACCEPTED MANUSCRIPT size denotes increasing curing time. The solid lines represent the linear regressions of the each respective 16 points.

T

FIG. 9. The FTIR peak areas of the absorbance of -OH groups (between 3100 cm-1 and 3800

RI P

cm-1) in the NH3 plasma damaged samples related to Si-H bond peak (at 891 cm-1) area in UV-cured non-damaged samples, normalized to peak area of SiO2. The solid line represents

AC CE

PT

ED

MA

NU

SC

the linear regression of the 16 points. Increasing symbol size denotes increasing curing time.

ACCEPTED MANUSCRIPT Table I

conditions, Lo

CVD1ALo2

CVD2ALo2

AC CE

PT

ED

MA

NU

SC

curing time

CVD1-2.3 CVD2-2.5 Broadband-B CVD1BHi1 CVD2BHi1 CVD1BHi2 CVD2BHi2 CVD1BLo1 CVD2BLo1

T

Hi

CVD1-2.3 CVD2-2.5 Monochromatic-A CVD1AHi1 CVD2AHi1 CVD1AHi2 CVD2AHi2 CVD1ALo1 CVD2ALo1

RI P

Material – target k Light source Deposition

CVD1BLo2

CVD2BLo2

ACCEPTED MANUSCRIPT Table II

Matrix

residue

Shrinkage

(%) 34 32 39 36 25 26 30 27 37 36 41 40 26 26 28 28

(%) 43 44 50 52 63 62 64 66 46 49 51 53 67 67 68 69

(%) 23 24 11 12 12 12 6 7 17 15 8 7 7 7 4 3

(%) 10 15 17 27 1 5 5 13 12 14 21 22 5 7 8 11

AC CE

SC

NU

MA

ED

Pore

PSD

radius

width

(nm) 0.9 0.9 1.6 1.4 0.8 0.9 1.1 1.1 1.1 1.1 1.9 1.9 0.9 0.9 1.2 1.2

RI P

void

PT

Sample CVD1AHi1 CVD1AHi2 CVD1ALo1 CVD1ALo2 CVD2AHi1 CVD2AHi2 CVD2ALo1 CVD2ALo2 CVD1BHi1 CVD1BHi2 CVD1BLo1 CVD1BLo2 CVD2BHi1 CVD2BHi2 CVD2BLo1 CVD2BLo2

Porogen

T

EP

(nm) 0.5 0.5 1.8 1.5 0.4 0.4 0.8 0.7 0.8 0.8 1.6 1.6 0.6 0.6 1.0 1.0

AC CE

PT

ED

MA

NU

SC

RI P

T

ACCEPTED MANUSCRIPT

Fig. 1

Fig. 2

AC CE

PT

ED

MA

NU

SC

RI P

T

ACCEPTED MANUSCRIPT

Fig.

AC CE

PT

ED

MA

NU

SC

RI P

T

ACCEPTED MANUSCRIPT

3

Fig. 4

AC CE

PT

ED

MA

NU

SC

RI P

T

ACCEPTED MANUSCRIPT

PT AC CE

Fig. 5

ED

MA

NU

SC

RI P

T

ACCEPTED MANUSCRIPT

Fig. 6

AC CE

PT

ED

MA

NU

SC

RI P

T

ACCEPTED MANUSCRIPT

Fig. 7

AC CE

PT

ED

MA

NU

SC

RI P

T

ACCEPTED MANUSCRIPT

Fig. 8

AC CE

PT

ED

MA

NU

SC

RI P

T

ACCEPTED MANUSCRIPT

Fig. 9

AC CE

PT

ED

MA

NU

SC

RI P

T

ACCEPTED MANUSCRIPT

Effect of Ultraviolet Curing Wavelength on Low-k ...

Nov 2, 2010 - The tool operates in the rotating analyzer and tracking polarizer .... VIS and UV spectroscopic ellipsometry and porosity. Differences related to ...

514KB Sizes 1 Downloads 209 Views

Recommend Documents

Effect of UV-wavelength on Hardening Process of ...
Various Degree of Porosity in Planar Capacitor Structures, in Core Partner Workshop, IMEC, Leuven (2010). PECVD (k=2.3)+ H2-AFT - no residue. PECVD (k=2.5) – low residue ... Urbanowicz, B. Meshman, D. Schneider and M. R. Baklanov, Physica. Status S

Effect of UV wavelength on the hardening process of ...
Mar 29, 2011 - The effect of narrow-band 172 nm and broad-band 200 nm UV sources in the new ..... porogen-free low-k film in comparison with porogen-rich.

Short wavelength topography on the inner-core boundary - eScholarship
Jan 2, 2007 - database (http://www.seismology.harvard.edu), scalar moments and ... Original waveform profile ofPPphases for the 1993 (red) and 2003 (blue).

The Effect of Crossflow on Vortex Rings
The trailing column enhances the entrainment significantly because of the high pressure gradient created by deformation of the column upon interacting with crossflow. It is shown that the crossflow reduces the stroke ratio beyond which the trailing c

All-Optical Packet Router Based on Multi- Wavelength ...
2.1 All-optical Core Network and 1×M PPM-HP-based WDM Router. An all-optical core network with up to 16 edge nodes and a number of core routers (core nodes) K is shown in Fig. 1(a), with each edge node having a unique known decimal address from 0 to

Effect of Salinity on Biduri.pdf
There was a problem previewing this document. Retrying... Download. Connect more apps... Try one of the apps below to open or edit this item. Effect of Salinity ...

characteristic wavelength selection of hyperspectral ...
May 23, 2014 - *Corresponding Author-- Voice: +886-2-3366-5331, Email: .... measures have four characteristic wavelengths comparing with nine from SDA.

Ultraviolet Photodetector Based on GaN/AlN Quantum ...
Jul 9, 2010 - as 2 × 103 A/W at λ ) 300 nm at room temperature. We show that ... emission energy of GaN/AlN QDiscs vs QDisc thickness has been studied ...

The Effect of Crossflow on Vortex Rings
University of Minnesota, Minneapolis, MN, 55414, USA. DNS is performed to study passive scalar mixing in vortex rings in the presence, and ... crossflow x y z wall. Square wave excitation. Figure 1. A Schematic of the problem along with the time hist

On the Effect of Bias Estimation on Coverage Accuracy in ...
Jan 18, 2017 - The pivotal work was done by Hall (1992b), and has been relied upon since. ... error optimal bandwidths and a fully data-driven direct plug-in.

On the Effect of Bias Estimation on Coverage Accuracy in ...
Jan 18, 2017 - degree local polynomial regression, we show that, as with point estimation, coverage error adapts .... collected in a lengthy online supplement.

Short wavelength topography on the inner-core boundary - eScholarship
Jan 2, 2007 - A.C., Y.M., and B.R. contributed new reagents/analytic tools; A.C., Y.M., and B.R. analyzed data; and A.C., Y.M., and B.R. wrote the paper. The authors declare no conflict of ..... Earle PS, Shearer PM (1997) Science 277:667–670. 15.

ultraviolet radiation pdf
Sign in. Page. 1. /. 1. Loading… Page 1 of 1. File: Ultraviolet radiation pdf. Download now. Click here if your download doesn't start automatically. Page 1 of 1.

Ultraviolet Induced Motion of a Fluorescent Dust Cloud ...
Aug 15, 2007 - Ultraviolet Induced Motion of a Fluorescent Dust Cloud in an Argon ..... in my scientific thinking, Brandon Bentzley whose personal example.

Effect of earthworms on the community structure of ...
Nov 29, 2007 - Murrell et al., 2000). The development and application of suitable molecular tools have expanded our view of bacterial diversity in a wide range ...

Effect of Dual Fullerenes on Lifetimes of Charge ...
Compared with the SubPc-TPA dyad, a long-lived .... distance between the lowest unoccupied molecular orbital ... The nearest distance between the LUMO.

The effect of Quinine on Spontan.Rhythmic contrac. of Rabbit Ileal ...
The effect of Quinine on Spontan.Rhythmic contrac. of Rabbit Ileal smoot. musc..pdf. The effect of Quinine on Spontan.Rhythmic contrac. of Rabbit Ileal smoot.

Effect Of Acidic Treatment On Metal Adsorptions Of Sugarcane ...
Two pH systems were tested that are pH 3 and pH 5. The range ..... Adsorption of mercury, lead and cadmium ions on modified activated carbon”, Adsorption ...

Effect of Torcetrapib on the Progression of Coronary ...
29 Mar 2007 - additional use of these data to understand the mechanisms for adverse cardiovascular outcomes observed in the suspended torcetrapib trial. Methods. Study Design. The Investigation of Lipid Level Management Us- ing Coronary Ultrasound to

EFFECT OF INCLUSION OF CYCLODEXTRIN ON ...
Feb 28, 1989 - benzene derivatives fit easily within o-CD, and larger aromatics can be ... *Present address: Department of Chemistry, Kanyapur Polytechnic, ...

Effect of Mo substitution on ferroelectric properties of ...
School of Materials Science and Engineering, Wuhan University of ... (Received 1 September 2008; accepted 9 December 2008; published online 31 December 2008) ..... Z. Simoes, C. S. Riccardi, L. S. Cavalcante, E. Longo, J. A. Varela, B.

Effect of Torcetrapib on the Progression of Coronary ...
Mar 29, 2007 - Pinnacle Health at Harrisburg Hospital, ... of Lipid Level Management to Understand Its Im- ...... College of Cardiology Task Force on Clin-.

EFFECT OF SHADE ON YIELD OF RICE CROPS.pdf
Page 1 of 4. 24. EFFECT OF SHADE ON YIELD OF RICE CROPS. Golam Moula*. ABSTRACT: A study was undertaken to observe the effect of shade of the trees. on the yield of paddy and green straw of two different rice varieties, local variety,. Kazol Shail an