Investigation of the capacitance deviation due to metal-fills and the effective interconnect geometry modeling Won-Seok Lee, Keun-Ho Lee, Jin-Kyu Park, Tae-Kyung Kim, Young-Kwan Park, and Jeong-Taek Kong CAE, Memory Division, Dept. of Device Solution Network, SAMSUNG Electronics Co., Ltd E-mail : [email protected]

Abstract In this paper, the influence of floating dummy metalfills on interconnect parasitic is analyzed with the variations of possible factors which can affect the capacitance. Recently proposed chip-level metal-fill modeling, replacing metal-fill layer with effective high-k dielectric, has been reviewed in detail. Using a systematized modeling flow, the property of the effective permittivity in the modeled geometry is examined. Validation with the realistic 3D structures clearly demonstrates the importance and correctness of the geometry modeling.

1. Introduction Dummy metal-fills have been used to obtain the uniform etching profile and to maintain the global chip-scale planarity with the CMP (Chemical-mechanical polishing) process [1,2]. In any case, this floating metal-fills affect the interconnect capacitance more or less. This results in speed deviation from designer’s expectation when it is not considered [3-5]. Recently, efficient yet accurate chipscale modeling approach of floating metal-fills, via the effective interconnect geometry modeling, has been proposed and applied to the full chip RC extraction [6]. However, detailed features of the technology transformation into effective geometries, including the accuracy of the method, have not been extensively discussed. Furthermore,

how various process parameters increase the capacitance due to metal-fills is not clarified yet. This paper investigates the characteristics of the metal-fills from various points of view. This can give insights to minimize the capacitance increase. The modeling accuracy of the effective interconnect geometry is verified with rigorous numerical analysis for the realistic 3D structures. In addition, the characteristics of the effective permittivity in the transformed geometry are examined with the automated modeling flow.

2. Deviation of Interconnect capacitances due to floating metal-fills In this section, increments of the capacitance by metalfills are analyzed as a function of various parameters using the field solver PASCAL and the metal-fill characterization tool [4]. All possible factors - including signal line width/space, IMD thickness, density of metal-fills, filler size, metal layer thickness, and IMD permittivity – are considered. The structure in Figure 1 that has both on- and off-plane dummy metal-fills is used as a standard structure to evaluate the capacitance deviations. Signal line width and space: The increments of capacitances have been examined with various line widths and spaces. The line widths and spaces are the major factors governing the effects of metal-fills. In Figure 2, the ca-

on-plane fill

Signal line

s

w

off-plane fill

Increments of Ctot

30%

w=3um

25%

w=2um

20%

w=0.5um

15% 10%

w=0.2um 5%

Metal plate 0%

(a)

Figure 1. (a) 3D images of on- and off-plane metal-fills. (b) Vertical structure of (a).

0

(b)

0.5

1

1.5

2

2.5

3

3.5

Spaces (um)

Figure 2. Increments of capacitances due to dummy metal-fills at various widths and spaces. IMD thickness is 0.5um.

Proceedings of the Fourth International Symposium on Quality Electronic Design (ISQED’03) 0-7695-1881-8/03 $17.00 © 2003 IEEE

Avg. increments of Ctot

pacitance of the line, of which width and space are near the minimum design rule, is hardly affected by metal-fills because the large portion of the line capacitance is coupling capacitance and there is no chance for the metal-fills to be inserted between the lines. Meanwhile, as the width and space increase, the deviation of capacitance considerably increases and tends to saturate at a certain level as the overlap capacitance becomes dominant. The deviation of coupling capacitance is proportional to line spaces but the deviation of overlap capacitance is determined by its vertical structure and not a function of line width and space. IMD thickness: The IMD thickness between the signal line and the metal-fill layer is also one of the major factors determining the influence of the dummy metal-fills. As the thickness of IMD decreases, mainly the overlap capacitance increases. The coupling capacitance also increases slightly because the influence of the off-plane floating metal-fills increases. Metal-fill density: Figure 3 shows the average capacitance variations as a function of metal-fill density. The metal-fill density affects the global planarity as well as capacitance deviation. To determine the optimal metal-fill density, both capacitance increments and global planarity 20%

should be considered simultaneously. The dependencies of capacitance deviations on filler sizes are also investigated. In this study, the density of metal-fills is fixed to 53%. Smaller filler size at a constant dummy density can reduce some coupling capacitance but the improvement is too small to reduce the total capacitance. Accordingly, the increments of capacitance are independent of the filler size. Metal layer thickness: Figure 4 shows the increments of average capacitances as a function of the signal line thickness and metal-fill thickness. The capacitance deviations are strongly influenced by the thickness of off-plane metal-fills rather than the thickness of signal lines. The thin signal lines and the thick metal-fills make the parasitic capacitances worse. For example, if the thin signal lines run below the top-most layer, which has thick metalfills, the interconnect capacitance deviation would be significant. Permittivity of IMD: The absolute value of interconnect capacitance is directly proportional to the permittivity surrounding the metal-fills and the signal lines. However, the percentage of the increment due to metal-fills does not change at all. This fact indicates that the low-k dielectric material can reduce the absolute value of parasitic capacitance, but this cannot improve the deviation rate of capacitance by metal-fills.

3. Effective interconnect geometry modeling

16% 12% 8% 4% 0% 20%

30%

40%

50%

60%

70%

80%

Metal-filler density Figure 3. Average increments of Ctot versus metal-filler density. The capacitance is averaged for the combinations in Figure 1. ( width/space - 0.2, 0.5, 2, 3um )

H= 3.9

20%

0.8Pm

M4

24.0

0.9Pm

0.5Pm

3.9

15%

0.5Pm

M3

24.0

0.9Pm

0.5Pm

3.9

10%

0.5Pm

M2

0.9Pm

24.0

0.3Pm

M1

0.9Pm

23.5

0.5Pm

3.9

5% 1um 0%

0.3Pm

O m ff-pla thicetal-f ne k n ill ess

Avg. increments of Ctot

25%

Recently, a methodology to perform the chip-level RC extraction including the floating metal-fill effect was proposed [6]. Floating metal-fills are replaced with the high-k dielectric material and the effective interconnect geometry is modeled as shown in Figure 5 (b). Such effective interconnect geometry is applied to the conventional fullchip RC extractor and the effects of the metal-fills are considered with a negligible increase of computational time. Figure 6 shows the capacitance deviation by dummy metal-fills at the full-chip level. The original and the effectively modeled geometries are applied to 0.18Pm design and used as inputs of the full-chip RC extractor,

0.2Pm

0.5um 0.5um 1um

Line thickness

Figure 4. Average increments of capacitance as a function of signal line thickness and dummy layer thickness.

Poly

0.2Pm

(a)

(b)

Figure 5. Effective interconnect geometry modeling for 4-metal process technology. (a) Original structure, (b) Modeled structure.

Proceedings of the Fourth International Symposium on Quality Electronic Design (ISQED’03) 0-7695-1881-8/03 $17.00 © 2003 IEEE

Number of nets

Increments of Ctot by metal-fills

Figure 6. Deviations of the interconnect capacitances between with and without consideration of dummy metal-fills. Original and modeled structures are applied to STAR-RCXT.

STAR-RCXT. The average capacitance deviation by metal-fills is around 6.5% and for some nets the deviations reach to 15%. The subsequent timing delay simulation shows the same trend. The results show that the floating dummy metal-fills should be included in the chip-level RC extraction and timing analysis to avoid timing errors. In the previous study, however, the validity and accuracy of the proposed method are explicitly proven for only simple 2D line-and-space type structures [6]. Even though it may be enough for assuring the extraction accuracy for global nets, the qualification for realistic 3D structures is important not only for the completeness of the methodology but also for special purpose. Such 3D qualification is important for the cell-level parasitic extraction, especially for the analog cell. In the analog cells, the floating metal-

fills are usually eliminated because it is hard to estimate the deviation of capacitances by metal-fills and errors in parasitic extraction may result in design failure for the sensitive analog circuit. Thus, the uniformity of pattern density gets worse due to the absence of metal-fills and the global planarity after the CMP process is compromised. Therefore, inserting dummy metal-fills into the analog cells by virtue of the accurate extraction methodology would be definitely beneficial in global planarity point of view.G G Test structures in Figure 7 are used for the 3D qualification of the effective geometry modeling. The interconnect geometries in Figure 5 and the structures in Figure 7 containing 4 metal layers are combined to generate examples of 3 cases, as shown in Table 1(a). Capacitances are exactly calculated using the 3D field solver PASCAL for each case. Deviations from reference values are summarized in Table 1(b). Neglecting the effects of floating metal-fills results in underestimation of capacitances by about 10% for the present 3D structure. Whereas, the modeled interconnect technology combined with the structure without metal-fills gives very accurate Interconnect technology

Dummy generation rule

Select layer combination Mi, Mj, and Mk Calculate capacitance of 3D structure containing off-plane metal-fillers with splitting line widths & spaces

Determine effective permittivity of high-k dielectric with 2D modeled structure

(a)

(b)

Effective permittivity of high-k dielectric replacing off-plane metal-fills

Figure 7. Test structures for 3D qualification of geometry modeling. (a) with the dummy metal. (b) without the dummy metal. Table 1. (a) Combinations of the interconnect technology and structure for 3 examples. (b) Deviation of Ctot from Reference capacitance values.

(a) Reference Neglected Modeled

Interconnect technology original - Fig. 5(a) original – Fig. 5(a) modeled - Fig. 5(b)

Calculate capacitance of 3D structure containing on-plane metal-fillers

Determine side-wall thickness(ts) of conformal dielectric with original permittivity

Structure

ts

with dummy – Fig. 7(a) w/o dummy – Fig. 7(b) w/o dummy – Fig. 7(b)

(b)G

Net1

Net2

Net3

Net4

Neglected

-9.0%

-8.3%

-11.7%

-5.3%

Modeled

-0.6%

0.0%

0.3%

0.4%

Yes

Next layer?

Effective interconnect geometry S-ICE (Pre-layout modeling), Post-layout parasitic extraction

Figure 8. Automation flow of effective geometry modeling to consider the dummy metal-fills.

Proceedings of the Fourth International Symposium on Quality Electronic Design (ISQED’03) 0-7695-1881-8/03 $17.00 © 2003 IEEE

Figure 9 shows the effective permittivity as a function of the metal-filler density and the IMD permittivity of the original structure. Extensive evaluation using the automated flow exhibits that the effective permittivity is mainly dependent on those two parameters and the dependences are roughly linear in meaningful parameter regimes. The thicker metal layer is mapped to the higher permittivity but the correlation is very low.

Effective permittivity

50

H=4.4

40

H=3.9 H=3.4

30

H=2.9 20 10

4. Conclusions 0 35%

45%

55%

65%

75%

Metal-fill density Figure 9. The effective permittivity as a function of the metal filler density. IMD thickness is 0.5um.

ture without metal-fills gives very accurate capacitance values with less than 1% errors. Furthermore, the extraction for modeled structure is about 3 times faster than that for structure with metal-fills, even though the field solver PASCAL employs an efficient algorithm for treating the floating electrodes [4]. To employ the present method to the design flow, nothing is required except the interconnect technology transformation to the effective one at the early process characterization step. However, such effective geometry modeling is tedious and time-consuming for recent interconnect technology containing more than 8 metal layers. The automation flow as shown in Figure 8 is implemented and integrated into our interconnect modeling environment SICE (Samsung Interconnect Characterization Environment). The overall modeling flow consists of two major parts. The first step is to obtain the effective permittivity of high-k dielectric, which replaces the off-plane metalfills. After that, the sidewall thickness of the original dielectric that is placed as a conformal layer is determined. During whole flow, the capacitance deviation by the metal-fills is calculated with the 3D structure containing fillers explicitly and the effective permittivity and the sidewall thickness are determined with the 2D modeled structure.

Electrical influences of floating dummy metal-fills on interconnect have been analyzed with the variation of capacitance related parameters. Presented simulation results and analyses can help reduce the effects of dummy metal-fills. The geometry modeling methodology that enables full chip RC extractor to consider metal-fills has been systematized and importance and accuracy of the modeling have been discussed.

5. References [1] B. Stine, D. Boning, et al., “The physical and electrical effects of metal-fill patterning practices for oxide chemicalmechanical polishing processes,” IEEE Trans. Electron Devices, vol. 45, p. 665, Mar., 1998. [2] J.-H. Chang, Y.-S. Youn, et al., “Effects of Dummy patterns and Substrate on Spiral Inductors for Sub-micron RF Ics,” IEEE MTT-S Digest, p. 529, 2002. [3] A. Khang, Gabriel Robins, et al., “Filling algorithms and analyzes for layout density control,” IEEE Trans. ComputerAided Design, vol. 18, p. 445, 1999. [4] J.-K. Park, K.-H. Lee, et al., “An exhaustive method for characterizing the interconnect capacitance considering floating dummy-fills by employing an efficient field solving algorithm,” in Proc. SISPAD, p. 98, 2000. [5] W. Grobman, M. Thompson, et al., “Reticle Enhancement Technology: Implications and Challenges for Physical Design,” Proc. Design Automation Conference, p. 73, 2001. [6] K.-H. Lee, J.-K. Park, et al., “Analyzing the Effects of Floating Dummy-Fills: From Feature Scale Analysis to Full-chip RC Extraction,” IEDM Technical Digest, p. 687, 2001.

G

Proceedings of the Fourth International Symposium on Quality Electronic Design (ISQED’03) 0-7695-1881-8/03 $17.00 © 2003 IEEE

Investigation of the capacitance deviation due to metal ...

In this paper, the influence of floating dummy metal- fills on interconnect parasitic is analyzed with the varia- tions of possible factors which can affect the capacitance. Recently proposed chip-level metal-fill modeling, replac- ing metal-fill layer with effective high-k dielectric, has been reviewed in detail. Using a systematized ...

272KB Sizes 1 Downloads 216 Views

Recommend Documents

Environmental risk increase due to heavy metal contamination caused ...
The real increase of human health hazards may be greater than those related to the total concentrations since Mn and ... eration in water courses which drain them. ...... das Minas do Camaquã, RS, Master Science Thesis ... and Management.

Environmental risk increase due to heavy metal contamination caused ...
heavy metals from mining operations, two points on the João Dias Creek were sampled (Station 1, ..... Table I shows the basic experimentally obtained data.

Environmental risk increase due to heavy metal contamination caused ...
ual will develop cancer over a lifetime of exposure are estimated ..... App Geochem 11: 243-249. ... IAEA – INTERNATIONAL ATOMIC ENERGY AGENCY. 1990.

Painful deviation of the mandible ...
fossa in the absence of sufficient lubrication.1 Opening of. aOral and Maxillofacial Surgeon, Department of Oral and Maxillo- facial Surgery, Federal University of Santa Catarina. bClinician, Department of Oral and Maxillofacial Surgery, Federal. Uni

CAPACITANCE LEVEL SWITCH
circuit and relay will be activated. As Capacitance Level Switch has no moving parts inside the device, it will not be affected by friction. It is suitable for powder or liquid application easy to install. The customer can choose the types for his re

CAPACITANCE LEVEL SWITCH
timer in clockwise. The relay will energized after. "Indicator" illuminate for several seconds if set timer more than 0 second. The delay function is suitable for ...

Detection of ST Segment Deviation Episodes in the ...
Department of Computer and Information Sciences ... by first dividing the input database into a number of pattern classes and then ...... 101(23): p. e215--e220.

investigation-of-fundamental-phenomena-relevant-to-coupling ...
There was a problem loading this page. investigation-of-fundamental-phenomena-relevant-to-co ... -co2-brayton-cycle-to-sodium-cooled-fast-reactors.pdf.

Rate of magnetization reversal due to nucleation of ...
energy is found to be exactly half that of an unpinned soliton-antisoliton pair, and results from the ..... isfied by Eq. 12 are used, yielding d sap/dx 2=2V sap.

S14(INTERLOCKING WITH METAL TO METAL RELAY).pdf ...
without the prior agreement and written permission of IRISET, Secunderabad, India”. Page 3 of 80. S14(INTERLOCKING WITH METAL TO METAL RELAY).pdf.

Gate capacitance in electrochemical transistor of single ...
and Fermi–Dirac distribution at T=290 K as follows: Q(Vchem) = 0. D(E) exp[(E − eVchem)/kBT] + 1. dE, where kB is Boltzmann constant. Using the equation, the.

Reduction of Bit Errors Due to Intertrack Interference ...
sity data storage beyond 10 Tera bits/inch . Practical imple- mentations require ... A MIMO channel model is commonly used for wireless digital communications.

Lead_DC_Env_Exposure_Detection-Monitoring-Investigation-of ...
... of the apps below to open or edit this item. Lead_DC_Env_Exposure_Detection-Monitoring-Investig ... l-and-Chronic-Diseases-regulations(6CCR1009-7).pdf.

Investigation of the Spectral Characteristics.pdf
DEDICATION. This work is dedicated to my darling sisters. Mrs. Payman Mahmood. Mrs. Hanaw Ahmad. With love and respect... Whoops! There was a problem ...

Evaluation of Deep Signal Fading Effects Due to ...
Sep 19, 2008 - Stanford University. BIOGRAPHY. Jiwon Seo is a Ph.D. candidate in Aeronautics and. Astronautics at Stanford University. He received his B.S. in Aerospace Engineering ... Institute of Science and Technology) and received M.S. degrees in

characteristics of deep gps signal fading due to ...
May 15, 2008 - using a more severe scintillation data set. .... makes sense to define deep fading in a context .... Aviation Administration (FAA) WAAS. Program ...

Criteria of Ecological Hazards Due to Anthropogenic ...
to improvement of a system of criteria of ecological ... on the Biota: Searching for a System .... concentration of cells, 13372 per 0.5 ml; temperature, 16°C.

Characteristics of deep GPS signal fading due to ...
Jul 18, 2009 - smoothing filters of aviation receivers. Citation: Seo, J., T. Walter, T.-Y. Chiou, and P. Enge (2009), Characteristics of deep GPS signal fading ...