Pattern-Restricted Design at 10nm and Beyond Rani S. Ghaida∗ , Yasmine Badr§ , Puneet Gupta§ GLOBALFOUNDRIES, Technology Development Division, Santa Clara, CA University of California, Los Angeles, Electrical Engineering Department, Los Angeles, CA [email protected], [email protected], [email protected]

§

Abstract—Manufacturing has been incapable of keeping up with Moore’s law without significantly increasing process variability and imposing massive geometric restrictions on design. This paper highlights the design impact of variability and geometric constraints – including traditional design rules and pattern-scale constraints – and describes our approach for evaluating and enforcing pattern-scale restrictions on design.

I. L AYOUT R ESTRICTIONS , VARIABILITY, AND THEIR D ESIGN I MPACT Small changes in layout constraints, a.k.a. design rules, can have a significant impact on design power, performance, and area metrics. Moreover, a rule change may affect different metrics in opposite directions. For example, increasing the spacing rule between n-type well and transistors active region will improve transistor performance – due to reduced wellproximity effect – but will increase layout area. One method to examine the design impact of rules is the Design Rule Evaluator (DRE) [1]. The DRE methodology consist of quick estimation of the layout for a given set of rules followed by approximate modeling of design metrics. Layout estimation – rather than actual generation – allows the practical exploration of a wide range of rules; and, approximate models – rather than accurate models involving significant development effort (e.g., lithography/circuit simulation) – requires easy-to-extrapolate technology parameters and, hence, allows DRE use for design-technology co-optimization at early stages of technology development. Manufacturing imperfections, whose magnitude depends strongly on design rules, lead to process-parameters variability [4]. Variations in process parameters translate into variation in transistors power consumption and delay with direct impact on the overall power, performance, or area of the design depending on the optimization objective. Figure 1 shows how variations in standard-cell delay translates into design area change when the objective is to meet a fixed performance target. Chip-level DRE [3] predicts the impact of rules on delay and delay variability and models tradeoffs between performance and area that occur at the system level; it uses a static timinganalysis model to estimate cell delay and a neural networkbased model to predict delay-margin dependent area penalty. Chip-level area is estimated from cell area – including the delay-margin area penalty – and a cell-area to chip-area model that is calibrated using actual synthesis, place and route data. Finally, design metrics of area, performance, variability and functional yield (and power in the future) are unified into

Figure 1. Design area versus standard-cell delay, where curves are generated using purely empirical synthesis/placement/routing experiments (reprinted from [2]).

Figure 2. DRE-generated plots for chip area, overall cell area and GCPW metric for different values of n-type well-to-active spacing rule [3].

a single design-quality metric of “good chips per wafer” (GCPW), which can be computed fast enough to allow systemlevel design/technology co-optimization (e.g., Figure 2). Manufacturing is becoming increasingly complex as we approach physical-scaling limits. The diameter of optical interference has been constant with scaling (and will continue to be at 10nm and possibly beyond) making features context – i.e. entire layout patterns – increasingly important. Earlier, simple dimensional constraints on layout configurations such as spacing/width design rules were enough to ensure

Figure 3. Flow of pattern-driven DRE.

manufacturability. In today’s deep-submicron technology, constraints on layout configurations as well as their contexts (i.e. pattern-scale constraints) must be enforced to ensure manufacturability. II. P RE -L AYOUT PATTERN R ESTRICTIONS Restricting design from comprising specific patterns can have a large impact on key design metrics including layout area. What patterns need to be prohibited depends on technological choices made when defining the process (e.g., patterning technology such as double-patterning technology). Therefore, making informed technological decisions requires methods for evaluating the cost of forbidding patterns. One such method is described in our previous works of [5,6], where the DRE methodology is extended to estimate the design impact of forbidden patterns. The flow for pattern-driven DRE from [5] is shown in Figure 3. From a set of design rules and forbidden patterns, DRE generates a virtual standard-cell library, where metal layers are estimated using a wiring-approximation method [6]. For each cell, possible routing options are examined and only those excluding forbidden patterns are preserved. After transistors are placed, certain cells may be impossible to route. In such a situation, an alternative transistor placement solution is used and the routing is reattempted until a routing solution exists or the maximum number of attempts is reached. If the cell is unroutable after the maximum number of attempts is reached, an integer linear program is employed to determine the routing option with the minimum number of unroutable nets. The reported routability metrics include: the number of routable cells and the total number of feasible routing options. In addition, the counts of all occurring patterns are reported. Pattern-driven DRE was used to compare litho-etchlitho-etch double patterning lithography (LELE) to extreme ultraviolet lithography (EUV). While pattern-scale constraints are needed for LELE – due to mask-assignment (a.k.a. coloring) conflicts, simple dimensional constraints suffice for

EUV. To come up with a set of LELE forbidden patterns to use in our comparative experiment, 4×4 patterns are extracted from DRE-estimated standard-cell library layouts and a commercial LELE decomposer is then used to identify noncompliant patterns. The cost of forbidding those patterns is then evaluated using pattern-driven DRE: LELE leads to 6.4% less routable cells and 57.3% less routing options. In another experiment, the routability impact of activeregion placement is assessed for a Self-Aligned Double Patterning (SADP) process. Using SADP-forbidden patterns, two active-placement options are examined: (1) closest to the center of the cell at the P/N regions interface and (2) closest to the top/bottom edges of the cell. The results shows that placing active closest to the edge of the cell improves the number of routable cells by 5.1% and the routing options by 6.9%. Simple design rules can be defined in such a way to avoid pattern/large-scale manufacturing constraint violations. A generic approach for modeling the impact of rules on large-scale violations combines DRE with machine-learning methods [6]. One example of such violations is LELE maskassignment conflicts, whose occurrence strongly depends on spacing rules and can be correlated with certain layout aspects. Therefore, the work in [6] extracts aspects of DRE-estimated layouts such as wire congestion based upon which conflicts are predicted using a machine-learning model. High-fidelity conflict prediction with 81% accuracy is achieved and the probabilistic nature of DRE’s layout estimation ensures the method is generic rather than specific to a routing approach or exact patterns. III. P OST-L AYOUT PATTERN R ESTRICTIONS Undesired manufacturing-frail patterns (a.k.a hotspots) that cannot be avoided through rules – either because layoutgeneration tools are not ready to handle complex rules or because avoiding them through rules leads to too large overhead – need to be eliminated before the design can be taped out. This can be achieved using pattern-based physical verification methods (e.g., DRC+ [7]). A set of hotspot patterns, verified through manufacturing simulation, is determined for the manufacturing process. Using this set of patterns, pattern matching is run on every design to identify hotspot locations. Pattern violations are then fixed by stripping out a wire and rerouting it. Hoping to converge to a legallayout solution, the procedure is repeated iteratively. Iterative fixing of pattern violations may converge with a handful of violations but, since pattern-scale restrictions increase with technology scaling, there will certainly be a tipping point when the number of violations becomes unmanageable. Instead of creating the layout and then fixing it, layout should be constructed using pre-certified patterns as building blocks. Admittedly, forcing routers to use specific patterns is difficult and may significantly degrade solution quality. A more viable approach is to start with a layout solution from existing routers and carry out substitution with pre-certified patterns [8]. Starting with an initial pattern-oblivious layout

as guide, existing patterns are analyzed and then classified according to their connectivity and constraints with their surroundings. Performing the same “function”, patterns of the same class are hence replaceable. Pattern qualification is then carried out to determine the “best” pattern in every class (e.g., best printability). By applying this process on multiple layouts, a library of best-in-class patterns and a library of imperfect patterns are constructed. Lastly, imperfect patterns are searched for in the layout, using geometric patternmatching, and each instance is replaced with the corresponding best-in-class pattern. Early results are promising, showing the method applicability with reasonable run-time. By analyzing only 1% of unique patterns in a relatively small 1×1mm layout, more than a hundred thousand pattern substitutions are possible. Another important result is that as much as 200 unique patterns can belong to the same class. Therefore, chances of finding high-quality best-in-class patterns are high and benefits of pattern substitution can be enormous. Additionally, because multiple unique patterns are substituted with a single pattern, the method maximizes pattern re-use making the layout more regular and facilitating silicon debug and sourcemask optimization. IV. C ONCLUSION This paper presented approaches to cope with layout design under severe pattern restrictions. First, the DRE methodology is used to optimize design rules (whether pattern-based or otherwise) such that occurrence of manufacturing-frail patterns is minimized, especially in standard cells. Second, a pattern substitution-based approach is proposed to remove residual poor patterns, especially in routing layers. Future patterning technologies at 10nm node and beyond will necessitate pattern avoidance as an integral part of the design to manufacturing flow. R EFERENCES [1] R. S. Ghaida and P. Gupta, “DRE: A framework for early co-evaluation of design rules, technology choices, and layout methodologies,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 31, no. 9, pp. 1379–1392, Sept 2012. [2] R. S. Ghaida, “Design enablement and design-centric assessment of future semiconductor technologies,” Ph.D. dissertation, University of California, Los Angeles, 2012. [3] R. S. Ghaida, Y. Badr, M. Gupta, N. Jin, and P. Gupta, “Comprehensive die-level assessment of design rules and layouts,” in IEEE Asian and South Pacific Design Automation Conference, 2014, pp. 61–66. [4] T.-B. Chan, R. S. Ghaida, and P. Gupta, “Electrical modeling of lithographic imperfections,” in Intl. Conf. on VLSI Design, VLSID’10, 2010, pp. 423–428. [5] P. G. Y. Badr, K-W. Ma, “Layout pattern-driven design rule evaluation,” in Proc. SPIE, vol. 9053, 2014, p. 905307. [6] R. S. Ghaida, T. Sahu, P. Kulkarni, and P. Gupta, “A methodology for the early evaluation and exploration of double-patterning design rules,” in Intl. Conf. on Computer-Aided Design, Nov. 2012. [7] V. Dai et al., “DRC Plus: augmenting standard DRC with pattern matching on 2D geometries,” in SPIE, 2007, p. 65210A. [8] S. Muddu and R. S. Ghaida, “Pattern-based replacement for layout regularization,” US Patent 8,826,197, 2014.

Pattern-Restricted Design at 10nm and Beyond

evaluating and enforcing pattern-scale restrictions on design. I. LAYOUT .... should be constructed using pre-certified patterns as building blocks. Admittedly, forcing ... South Pacific Design Automation Conference, 2014, pp. 61–66. [4] T.-B.

216KB Sizes 3 Downloads 167 Views

Recommend Documents

Ethology: at 50 and beyond
eral dearth of information regarding the behavior of GEOs in the ... could diminish as the technology expands .... 8 Spencer, H.G. and Masters, J.C. (1994) Sexual.

100GbE and Beyond for Warehouse Scale ... - Research at Google
from desktops to large internet services, computing platforms ... racks and clusters interconnected by massive networking ... five years for WSC interconnects.

Design and Evaluation at a crossroad between Art, Science, and ...
abstraction of actual interactions. Page 3 of 14. Design and Evaluation at a crossroad between Art, Scie ... ngineering_ the case of Sonic Interaction Design.pdf.

Beyond Position Bias: Examining Result ... - Research at Google
rithm an attractive way to control for position bias on click ... We call a click on the bottom presented result in a Fair ..... In Conference of the Association for.

Design and Development of Institutional Repository at Annamalai ...
Design and Development of Institutional ... management to collect, preserve and provide access, ... development and application of ICT, the services and.

Modeling Method and Design Optimization for a ... - Research at Google
driving vehicle, big data analysis, and the internet of things (IoT), .... 3) Reverse Recovery of SR ... two topologies suffer from hard switching, resulting in higher.

Design-and-Rehabilitation-Report-at-three-spinal-injury-centres.pdf ...
There was a problem previewing this document. Retrying... Download. Connect more apps... Try one of the apps below to open or edit this item. Design-and-Rehabilitation-Report-at-three-spinal-injury-centres.pdf. Design-and-Rehabilitation-Report-at-thr