USO0RE40264E

(19) United States (13) Reissued Patent Flamm (54)

(45) Date of Reissued Patent:

MULTI-TEMPERATURE PROCESSING

Inventor:

(21)

5,700,734 A 5,705,433 A

Daniel Walnut IJI Creek’ Flamm’ CA (Us) Green 94596 View Dr”

APP1-NO-110/439J45 .

(22)

Wed‘

_

May 14’ 2003

*

5,770,099 A

6/1998

1/1999 Wicker 61 31. .

5,925,212

7/1999

A

5,939,831 A

8/1999 Fong 613l. 9/1999

.. 315/111.21 .................. ..

216/67

12/1999 3/2000

P3n 613l. ........... .. Kholodenko .... ..

438/730 118/500

3/2000 Denison 613l.

4/2000 Gadgil 6131. ............. .. 438/714 5/2000 Collins 6131. .............. .. 216/68 6/2000 Rossman 613l. . 118/728

Filed;

sep_ 10, 1998

6,087,264 A

7/2000 Shin et al. ...... ..

.

.

Contlnuatlon-ln- art of a

.

.

l1cat1on No. 08/567,224, ?led on

*

Int‘ Cl‘

H05H 1/00

(2006.01)

H01L 21/302

(2006.01)

7/2000 Collins 6131.

438/706

216/68

10/2000 Husain 613l. ............ .. 219/390

6165 311

12/2000



A

6,167,834 B1

Provisional application No. 60/058,650, ?led on Sep. 11,

427/579

6,140,612 A ’

Dec‘ 4, 1995,1101?” abangfned‘

Collins 6131.

............ ..

156/345

1/2001 Wang 6131. .............. .. 418/723

639L148 B2

5/2002 Marks et a1‘

6,486,069 B1 2001/0003676 A1

11/2002 Marks 6131. 6/2001 Marks 6131.

FOREIGN PATENT DOCUMENTS EP

us. Cl. ........................... .. 216/59; 216/67; 216/68;

216/74; 438/714; 438/715; 204/192.32; 156/345.52; 156/345.53 (58)

156/345

6,048,798 A 6,068,784 A 6,077,357 A *

JP

(52)

156/345 . . . . ..

6,042,901 A

1997,

(51)

Grosshart

.....

6,231,776 May 15, 2001 09/151,163

.

(60)

R166 613l.

. . . .. 216/68

Patent No.: Issued: APPLNQ;

Us Applications.

)

IiZuka Rice et .al. . . . . . .. . .. ....

5,948,283 A

6,090,303 A 63

12/1997 Ooishi ...................... .. 438/592 1/1998 Olson 61 31. 438/695

5,863,376 A

6,008,139 A 6,033,478 A

Relssue Ofr

(

Apr. 29, 2008

5,965,034 A * 10/1999 Vinogradov 613l. ........ .. 216/68

Related U-s- Patent Documents

(64)

US RE40,264 E

(10) Patent Number:

Field of Classi?cation Search ............... .. 438/715,

438/719, 721, 725, 737, 738; 216/41, 49, 2l6/63i67, 75, 79; 156/345.27, 345.52, 345.53 See application ?le for complete search history.

W0

1236226 A2 59076876 A

WO-Ol/41189 A2

7/2001 *

5/1984

7/2001

* cited by examiner

Primary ExamineriAnita Alanko (74) Attorney, Agent, or FirmiDaniel L. Flamm (57) ABSTRACT The present invention provides a technique, including a method and apparatus, for etching a substrate in the manu

(56)

References Cited

facture of a device. The apparatus includes a chamber and a

U.S. PATENT DOCUMENTS

holder has a selected thermal mass to facilitate changing the

substrate holder disposed in the chamber. The substrate 5,179,264 A 5,294,778 A

1/1993 3/1994

5,320,982 A

6/1994 Tsubone et al.

5,556,204 A 5,571,366

*

A

5,609,720 A

9/1996 Tamura et al. .. 11/1996

*

Cuomo et al. ....... .. 219/121.43 Carman et a1. ........... .. 219/385

Ishii

. . . . . . . . . . . .

3/1997 LenZ et al. ..

5,645,683 A

7/1997

5,667,631 A 5,695,564 A

9/1997 12/1997

Miyamoto

.... ..

. . . ..

temperature of the substrate to be etched during etching processes. That is, the selected thermal mass of the substrate

428/714

holder alloWs for a change from a ?rst temperature to a

374/161

second temperature Within a characteristic time period to process a ?lm. The present technique can, for example,

156/345

438/715 .. 156/643.1

Holland et al. . ..... .. 216/13 Imahashi .................. .. 118/719

provide different processing temperatures during an etching process or the like.

59 Claims, 15 Drawing Sheets

U.S. Patent

Apr. 29, 2008

Sheet 1 0f 15

US RE40,264 E

21 23

/—1O

2%’ ‘Q0

\27 O

20

Z j

0

2

28,012 32 ?ll 2 l

~ 1%“

—’

LO

(‘0

FIG. 1

U.S. Patent

Apr. 29, 2008

Sheet 2 0f 15

FIG. 2A

US RE40,264 E

U.S. Patent

Apr. 29, 2008

Sheet 3 0f 15

US RE40,264 E

WAC1

/

57

51

55

531-”

/-59 WAC 2

FIG. 2B

WAC

55

E!

'2'

FIG. 2C

53

/'57

U.S. Patent

Apr. 29, 2008

Sheet 4 0f 15

US RE40,264 E

/\/ 52 @j-i-i-1-1-1-1-1-1-1-1-i-j-ii-i-i-i-il ---------- - - ~

PM

W

PA2

V2

PAn

v3

WAC 1b PS2

WAC 2a .......

WAC 2b

PSn

WAC na ..........

WAC nb

U.S. Patent

Apr. 29, 2008

Sheet 5 0f 15

US RE40,264 E

U.S. Patent

Apr. 29, 2008

Sheet 6 0f 15

US RE40,264 E

/ mum]

L

I

6GW9OfQ Fig.3

09

1 8 1 63 2 ,. ,a 1 w 2

12

_f4

U.S. Patent

Apr. 29, 2008

Sheet 7 0f 15

US RE40,264 E

112

19 118

116

Fig. 4

U.S. Patent

Apr. 29, 2008

Sheet 8 0f 15

FIG. 5A

US RE40,264 E

U.S. Patent

Apr. 29, 2008

Sheet 9 0f 15

US RE40,264 E

U.S. Patent

Apr. 29, 2008

Sheet 10 0f 15

US RE40,264 E

mr k

[K

\‘I '

s! \ -

\\,I “!

\‘il '

a

I

/ ?oyoooooo o

m

In

,

mrm/.

‘1.0m

%

1mm/l

m5

U.S. Patent

Apr. 29,2008

Sheet 11 or 15

US RE40,264 E

(TOP VIEW)

6 3

0 Fluid in @ Fluid out

6088

/

,I I: 'I1 t“m|l|\l.u’

WNW

SV

mm?WWW

IP 605

603

1/ Chuck

615

FIG. 6

U.S. Patent

Apr. 29, 2008

Sheet 13 0f 15

US RE40,264 E

/1/ 800 Fluid at

temperature T1

805

f 809 l

‘\801

r

K

\\TC2 Fluid at temperature T2

\ T01 \\ ‘

a

\\

g

1

I

I I

f

//

j 803

807 / 'r

\

v

i

U.S. Patent

Apr. 29,2008

Sheet 14 or 15

US RE40,264 E

?jijgljjljijrjl Phoioresist . .

. .

.

. .

.

I .

.

> -

.

I .

.

v I

-

~ -



-

-

-

-

-

-

1J1‘ Tungsten Silicide

g Polysiiicon ' '

Silicon dioxide

Silicon Wafer

U.S. Patent

Apr. 29, 2008

Sheet 15 0f 15

E C 8

US RE40,264 E

520 nm

in a

a

a

-

Resist ashed In 02

(different wavelength)

2

E

/ ________._.___

Constant Temperature Time

I

_

J

l

O

‘I

160

Time A. SP6 native oxide "breakthrough" B. C12 plasma is ignited

H. Plasma extinguished and O2 teed gas ?ow is started

0. WSi, begins to ctea?endpoint) D. Polysilicon is exposed

L'Oé plasma is started J 02 plasma is extinguished.

E. Polysilicon cleared to oxide

Fig. 10

US RE40,264 E 1

2

MULTI-TEMPERATURE PROCESSING

processed in such chamber are controlled to be at a sub

stantially a single value of temperature during processing. From the above it is seen that an improved technique,

Matter enclosed in heavy brackets [ ] appears in the original patent but forms no part of this reissue speci?

including a method and apparatus, for plasma processing is often desired.

cation; matter printed in italics indicates the additions made by reissue.

SUMMARY OF THE INVENTION

The present invention provides a technique, including a method and apparatus, for fabricating a product using a

CROSS-REFERENCE TO RELATED APPLICATIONS

plasma discharge. One aspect of the present technique relies upon multi-stage etching processes for selectively removing

This present application is a continuation-in-part of US. application Ser. No. 60/058,650 ?led Sep. 11, 1997, and a

a ?lm on a workpiece using diifering temperatures. It overcomes serious disadvantages of prior art methods in which throughput and etching rate were lowered in order to avoid excessive device damage to a workpiece. In particular,

continuation-in-part of US. application Ser. No. 08/567,224 ?led Dec. 4, 1995, now abandoned which are hereby incor

porated by reference for all purposes.

this technique is extremely bene?cial for removing resist masks which have been used to effect selective ion implan

BACKGROUND OF THE INVENTION

tation of a substrate in some embodiments. In general, implantation of ions into a resist masking surface causes the upper surface of said resist to become extremely cross

This invention relates generally to plasma processing. More particularly, one aspect of the invention is for greatly

improved plasma processing of devices using an in-situ temperature application technique. Another aspect of the invention is illustrated in an example with regard to plasma etching or resist stripping used in the manufacture of semi conductor devices. The invention is also of bene?t in plasma assisted chemical vapor deposition (CVD) for the manufac ture of semiconductor devices. But it will be recognized that the invention has a wider range of applicability. Merely by way of example, the invention also can be applied in other

20

25

30

among others. Plasma processing techniques can occur in a variety of 35

plasma deposition (RPCVD) and ion-assisted plasma enhanced chemical vapor deposition (IAPECVD). These plasma processing techniques often rely upon radio fre quency power (rf) supplied to an inductive coil for providing power to produce with the aid of a plasma. Plasmas can be used to form neutral species (i.e., uncharged) for purposes of removing or forming ?lms in the manufacture of integrated circuit devices. For instance,

workpiece support which has low thermal mass in compari son to the heat transfer means. In an aspect of this invention,

is su?iciently greater than the thermal capacity of the

workpiece support that it permits maintaining the workpiece at a substantially uniform temperature. Still another aspect of the invention provides an apparatus for etching a substrate in the manufacture of a device using

diiferent temperatures during etching. The apparatus 50

properties of material layers in devices and excessive ion

includes a chamber and a substrate holder disposed in the chamber. The substrate holder has a selected thermal mass to

facilitate changing the temperature of the substrate to be etched. That is, the selected thermal mass of the substrate

materials in adjacent device layers, breaking down oxide

holder allows for a change from a ?rst temperature to a 55

harmful changes in substrate morphology (e.g.

second temperature within a characteristic time period to process a ?lm. The present apparatus can, for example,

provide different processing temperatures during an etching

amophotization), etc. Ion assisted etching processes, however, rely upon ion bombardment to the substrate surface in de?ning selected ?lms. But these ion assisted etching processes commonly have a lower selectivity relative to conventional CDE pro cesses. Hence, CDE is often chosen when high selectivity is desired and ion bombardment to substrates is to be avoided. In generally most, if not all, of the above processes maintain temperature in a “batch” mode. That is, the tem perature of surfaces in a chamber and of the substrate being

between a layer which is being pattered or removed other material layers. An embodiment of this process advanta geously employs a sequence of temperature changes as an unexpected means to avoid various types of processing damage to the a device and material layers. A novel inven tive means for effecting a suitable controlled change in

a ?uid is utilized to change the temperature of a workpiece. In another aspect, the thermal capacity of a circulating ?uid

bombardment ?ux and energy can lead to intermixing of

and “wear out,” injecting of contaminative material formed in the processing environment into substrate material layers,

processes by rapidly removing a majority of resist at a higher temperature after an ion implanted layer is removed without substantial particle generation at a lower temperature. In another aspect, the present invention provides a process which utilizes temperature changes to achieve high etch

temperature as part of a process involves the use of a 40

chemical dry etching is a technique which generally depends on gas-surface reactions involving these neutral species without substantial ion bombardment. In a number of manufacturing processes, ion bombard ment to substrate surfaces is often undesirable. This ion bombardment, however, is known to have harmful effects on

sive time which lowers throughput. Accordingly, the present

rates while simultaneously maintaining high etch selectivity

semiconductor manufacturing processes. Examples of plasma processing techniques occur in chemical dry etching

(CDE), ion-assisted etching (IAE), and plasma enhanced chemical vapor deposition (PECVD), including remote

lem. Processing at a lower temperature often requires exces

invention overcomes these disadvantages of conventional

plasma etching applications, and deposition of materials such as silicon, silicon dioxide, silicon nitride, polysilicon,

linked and contaminated by materials from the ion bom bardment. If the cross-linked layer is exposed to excessive temperature, it is prone to rupture and forms contaminative particulate matter. Hence, the entire resist layer is often processed at a low temperature to avoid this particle prob

process or the like. 60

The present invention achieves these bene?ts in the context of known process technology. However, a further

understanding of the nature and advantages of the present invention may be realized by reference to the latter portions of the speci?cation and attached drawings. 65

BRIEF DESCRIPTION OF THE DRAWINGS

FIG. 1 is a simpli?ed diagram of a plasma etching

apparatus according to the present invention;

US RE40,264 E 4

3 FIGS. 2Ai2E are simpli?ed con?gurations using Wave

als. The exterior surface of the chamber is typically a

adjustment circuits according to the present invention;

dielectric material such as a ceramic or the like. Chamber 12

also includes a process kit With a focus ring 32, a cover (not

FIG. 3 is a simpli?ed diagram of a chemical vapor

shoWn), and other elements. Preferably, the plasma dis charge is derived from the inductively coupled plasma

deposition apparatus according to the present invention; FIG. 4 is a simpli?ed diagram of a stripper according to

source that is a de-coupled plasma source (“DPS”) or a

the present invention;

helical resonator, although other sources can be employed. The de-coupled source originates from rf poWer derived

FIGS. 5Ai5C are more detailed simpli?ed diagrams of a

helical resonator according to the present invention;

from the inductive applicator 20. Inductively coupled poWer

FIG. 6 is a simpli?ed block diagram of a substrate holder

according to the present invention;

is derived from the poWer source 22. The rf signal frequen cies ranging from 800 kHZ to 80 MHZ can be provided to the

FIG. 7 is a simpli?ed diagram of a temperature control system according to an embodiment of the present inven

range from 5 MHZ to 60 MHZ. The inductive applicator

inductive applicator 20. Preferably, the rf signal frequencies

tion;

(e.g., coil, antenna, transmission line, etc.) overlying the

FIG. 8 is a simpli?ed diagram of a ?uid reservoir system according to an embodiment of the present invention;

FIG. 9 is a [simpli?ed diagram of a] simpli?ed diagram of

chamber ceiling can be made using a variety of shapes and ranges of shapes. For example, the inductive applicator can be a single integral conductive ?lm, a transmission line, or

a semiconductor substrate according to an embodiment of

multiple coil Windings. The shape of the inductive applicator

the present invention; and FIG. 10 is a simpli?ed [?oW diagram of a heating] process according to the present invention.

and its location relative to the chamber are selected to 20

the inductive applicator 20 operating With selected phase 23

and anti-phase 27 potentials (i.e., voltages) that substantially 25

This etch apparatus depicted, hoWever, is merely an illustration, and should not limit the scope of the claims as de?ned herein. One of ordinary skilled in the art may

implement the present invention With other treatment cham bers and the like.

30

The etch apparatus includes a chamber 12, a feed source

14, an exhaust 16, a product support check or pedestal 18, an inductive applicator 20, a radio frequency (“rf”) poWer source 22 to the inductive applicator 20, Wave adjustment circuits 24, 29 (WACs), a radio frequency poWer source 35 to the pedestal 18, a controller 36, an agile temperature control means [19], and other elements. Optionally, the etch apparatus includes a gas distributor 17. The chamber 12 can be any suitable chamber capable of housing a product 28, such as a Wafer to be etched, and for providing a plasma discharge therein. The chamber can be a

35

40

45

coupled poWer from the plasma source to the chamber bodies. In alternative embodiments, the Wave adjustment circuit

can be con?gured to provide selected phase and anti-phase coupled voltages coupled from the inductive applicator to 50

the plasma that do not cancel. This provides a controlled

potential betWeen the plasma and the chamber bodies, e.g., the substrate, grounded surfaces, Walls, etc. In one

The product support chuck can rapidly change its tem perature in Ways de?ned herein as Well as others. The Wafer

embodiment, the Wave adjustment circuits can be used to

selectively reduce current (i.e., capacitively coupled current) 55

to the plasma. This can occur When certain high potential

difference regions of the inductive applicator to the plasma

comprise an electrostatic chuck or mechanical clamps,

Which apply a pressure to bring the product into close proximity With the support check, Which enables a relatively good thermal contact betWeen the Wafer and support chuck.

not full-Wave multiples. Alternatively, more than tWo, one or even no Wave adjustment circuits can be provided in other

embodiments. But in all of these above embodiments, the

upon the application, the chamber is selected to produce a

is often thermally coupled to the support check Which permits maintaining the Wafer temperature in a knoWn relationship With respect to the chuck. Coupling Will often

nates the amount of capacitively coupled poWer from the plasma source to chamber bodies (e.g., pedestal, Walls, Wafer, etc.) at or close to ground potential. Alternatively, the Wave adjustment circuits 24, 29 provide an inductive appli

phase and anti-phase potentials substantially cancel each other, thereby providing substantially no capacitively

truncated pyramid, cylindrical, rectangular, etc. Depending

mity.

of full-Wave multiple operation provides for balanced capacitance of phase 23 and anti-phase voltages 27 along the inductive applicator (or coil adjacent to the plasma). This full-Wave multiple operation reduces or substantially elimi

full-Wave length multiple by a selected amount, thereby operating at selected phase and anti-phase voltages that are

be con?gured in other shapes or geometries, e.g., ?at ceiling,

uniform entity density over the pedestal 18, providing a high density of entities (i.e., etchant species) for etching unifor

cancel each other. The controller 36 is operably coupled to the Wave adjustment circuits 24, 29. In one embodiment, Wave adjustment circuits 24, 29 provide an inductive appli cator operating at full-Wave multiples 21. This embodiment

cator that is effectively made shorter or longer than a

domed chamber for providing a uniform plasma distribution over the product 28 to be etched, but the chamber also can

uniformity. The plasma discharge (or plasma source) is derived from

DETAILED DESCRIPTION OF THE INVENTION

FIG. 1 is a simpli?ed diagram of a plasma etch apparatus 10 according to the present invention. This etch apparatus is provided With an inductive applicator, e.g., inductive coil.

provide a plasma overlying the pedestal to improve etch

are positioned (or kept) aWay from the plasma region (or inductor-containing-the-plasma region) by making them go into the Wafer adjustment circuit assemblies, Which are 60

typically con?gured outside of the plasma region. In this

The support chuck and Wafer are often maintained at a

embodiment, capacitive current is reduced and a selected

substantially equal temperature. A pressure of gas is often applied through small openings in the support chuck behind

degree of symmetry betWeen the phase and anti-phase of the coupled voltages is maintained, thereby provided a selected potential or even substantially ground potential. In other

the Wafer in order to improve thermal contact and heat transfer betWeen the Wafer and support chuck. The present chamber includes a dome 25 having an interior surface 26 made of quartz or other suitable materi

65

embodiments, the Wave adjustment circuits can be used to

selectively increase current (i.e., capacitively coupled current) to the plasma.

US RE40,264 E 6

5

distribution in this embodiment Where the desired con?gu ration is a selected phase/anti-phase portion of a full-Wave

As shown, the Wave adjustment circuits are attached (e.g.,

connected, coupled, etc.) to ends of the inductive applicator.

inductor (or helical resonator) surrounding the plasma

Alternatively, each of these Wave adjustment circuits can be attached at an intermediate position aWay from the inductive

source discharge tube. In this embodiment, it is desirable to reduce or minimiZe

application ends. Accordingly, upper and loWer tap positions for respective Wave adjustment circuits can be adjustable. But both the inductive applicator portions beloW and above each tap position are active. That is, they both can interact

With the plasma discharge.

capacitive coupling current from the inductive element to the plasma discharge in the plasma source. Since the capaci tive current increases monotonically With the magnitude of the difference of peak phase and anti-phase voltages, Which

A sensing apparatus can be used to sense plasma voltage Which is used to provide automatic turning of the Wave

lessened by reducing this voltage difference. In FIG. 2A, for

adjustment circuits and any rf matching circuit betWeen the rf generator and the plasma treatment chamber. This sensing

example, it is achieved by Way of tWo Wave adjustment circuits 57, 59. Coil 55 (or discharge source) is a helical

apparatus can maintain the average AC potential at Zero or a selected value relative to ground or any other reference

resonators.

occur at points A and C in FIG. 2A, this coupling can be

resonator and the Wave adjustment circuits 57, 59 are helical

value. This Wave adjustment circuit provides for a selected potential difference betWeen the plasma source and chamber bodies. These chamber bodies may be at a ground potential or a potential supplied by another bias supply, e.g., See FIG. 1 reference numeral 35. Examples of Wave adjustment circuits are described by Way of the FIGS. beloW. For instance, FIGS. 2A to 2E are simpli?ed con?gurations using the Wave adjustment circuits according to the present invention. These simpli?ed con?gurations should not limit

20

the scope of the claims herein. In an embodiment, these

25

The discharge source helical resonator 53 can be con

structed using conventional design formulae. Generally, this helical resonator includes an electrical length Which is a

selected phase portion “x” (A to 00 to C) of a full-Wave circuits are each selected to jointly comprise a portion (Zn-x) of full-Wave helical resonators. Physical parameters for the Wave adjustment helical resonators can be selected to realiZe

practical physical dimensions and appropriate Q, Z0, etc

Wave adjustment circuits employ substantially equal circuit elements (e.g., inductors, capacitors, transmission line sections, and others) such that the electrical length of the Wave adjustment circuits in series With the inductive appli cator coupling poWer to the plasma is substantially an

sections may be selected to be substantially the same as the

transmission line parameters of the inductive applicator. The

portion of the inductive plasma applicator helical resonator, 30

nomical equipment siZe and reduced Q. The Wave adjustment circuit provides for external rf 35

Wave, quarter-Wave, etc.), the phase and anti-phase relation ship betWeen the plasma potentials substantially cancel each

poWer coupling, Which can be used to control and match poWer to the plasma source, as compared to conventional techniques used in helical resonators and the like. In

particular, conventional techniques often match to, couple

other. In further embodiments, the Wave adjustment circuits

poWer to, or match to the impedance of the poWer supply to

employ circuit elements that provide plasma applicators cancel each other out using a variety of Wave length por tions. FIG. 2A is a simpli?ed illustration of a plasma source 50 using Wave adjustment circuits and an agile temperature chuck 75 according to an embodiment of the present inven tion. This plasma source 50 includes a discharge tube 52, an inductive applicator 55, an exterior shield 54, an upper Wave adjustment circuit 57, a loWer Wave adjustment circuit 59, an rf poWer supply 61, and other elements. The upper Wave adjustment circuit 57 is a helical coil transmission line

on the other hand, is designed and siZed to provide selected uniformity values over substrate dimensions Within an eco

eighth-Wave, quarter-Wave, half-Wave, three-quarter Wave,

With phase and anti-phase potential relationships that do not

values. In particular, some or even all of the transmission

line parameters (Q, Z0, etc.) of the Wave adjustment circuit

integral multiple of one Wavelength. In other embodiments, the circuit elements provide for inductive applicators at other Wavelength multiples, e.g., one-sixteenth-Wave, one etc. In these embodiments (e.g., full-Wave multiple, half

helical resonator. The helical resonator Wave adjustment

40

the helical resonator by varying a tap position along the coil above the grounded position, or selecting a ?xed tap position relative to a grounded coil end and matching to the imped ance at this position using a conventional matching netWork, e.g., LC network, at netWork, etc. Varying this tap position along the coil Within a plasma source is often cumbersome

45

and generally imposes dif?cult mechanical design problems. Using the ?xed tap and external matching netWork also is cumbersome and can cause unanticipated changes in the

discharge Q, and therefore in?uences its operating mode and stability. In the present embodiments, the Wave adjustment

Wave adjustment circuit 59 also is a helical coil transmission

circuits can be positioned outside of the plasma source (or constrained in space containing the inductive coil, e.g., See FIG. 2A. Accordingly, the mechanical design (e.g., means

line portion 67 outside of the plasma source region 60. The poWer supply 61 is attached 65 to this loWer helical coil

for varying tap position, change in the effective rf poWer coupling point by electrical means, etc.) of the tap position

50

portion 69, outside of the plasma source region 60. LoWer

portion 67, and is grounded 63. Each of the Wave adjustment

55

are simpli?ed relative to those conventional techniques. In the present embodiment, rf poWer is fed into the loWer Wave adjustment circuit 59. Alternatively, rf poWer can be fed into the upper Wave adjustment circuit (not shoWn). The rf poWer also can be coupled directly into the inductive

60

plasma coupling applicator (e.g., coil, etc.) in the Wave

65

Alternatively, other applications Will use a single Wave adjustment circuit, as illustrated by FIG. 2C. PoWer can be coupled into this Wave adjustment circuit or by conventional techniques such as a tap in the coil phase. In some

circuits also are shielded 66, 68. In this embodiment, the Wave adjustment circuits are

adjusted to provide substantially Zero AC voltage at one point on the inductive coil (refer to point 00 in FIG. 2A).

This embodiment also provides substantially equal phase 70 and anti-phase 71 voltage distributions in directions about this point (refer to OO-A and OO-C in FIG. 2A) and provides

substantially equal capacitance coupling to the plasma from physical inductor elements (OO-C) and (OO-A), carrying the phase and anti-phase potentials. Voltage distributions OO-A and OO-C are combined With C-D and A-B (shoWn by the

phantom lines) to substantially comprise a full-Wave voltage

adjustment circuit design, as illustrated by FIG. 2B.

embodiments, this tap in the coil phase is positioned above the grounded end. An external impedance matching netWork

US RE40,264 E 7

8

may then be operably coupled to the power for satisfactory power transfer ef?ciency from, for example, a conventional coaxial cable to impedances (current to voltage rations) existing betWeen the Wave adjustment circuit terminated end of the applicator and the grounded end.

such as various types of transmission lines, circuits, etc. These transmission lines include conventional solid or air

dielectric coaxial cable, or ordinary, repeating inductor/ capacitor discrete approximations to transmission lines, and others. These types of transmission lines are co-axial trans

mission lines, balanced parallel transmission lines, so called

A further embodiment using multiple inductive plasma applicators also is provided, as shoWn in FIG. 2D. This

sloW Wave transmission lines With a spiral inner conductor

embodiment includes multiple plasma applicators (PA 1,

(e.g., selected portions of a helical resonator, etc.), and others. Individual lumped, ?xed, or adjustable combinations

PA2. . . PAn). These plasma applicators respectively provide

selected combinations of inductively coupled poWer and

of resistors, capacitors, and inductors (e.g., matching

capacitively coupled poWer from respective voltage poten

netWorks, etc.) also can be used in place of transmission line sections for the Wave adjustment circuit. These general types of Wave adjustment circuits are frequency dependent, and can be termed frequency dependent Wave adjustment cir

tials (V1, V2. . . Vn). Each of these plasma applicators derives poWer from its poWer source (PS1, PS2. . . PSn)

either directly through an appropriate matching or coupling netWork or by coupling to a Wave adjustment circuit as

cuits (or FDWACs).

described. Alternatively, a single poWer supply using poWer splitters and impedance matching netWorks can be coupled to each (or more than tWo) of the plasma applicators.

Frequency independent elements also can be used as the Wave adjustment circuits. These Wave adjustment circuits

Alternatively, more than one poWer supply can be used Where at least one poWer supply is shared among more than

one plasma applicator. Each poWer source is coupled to its

can be termed frequency independent WACs (or FIWACs). Frequency independent Wave adjustment circuits include 20

respective Wave adjustment circuits (WACl, WAC2. . .

WACn).

degenerate cases such as short-circuit connections to ground or an in?nite impedance (i.e., open circuit), and others. Frequency independent Wave adjustment circuits can be used alone, or in combination With the frequency dependent

Wave adjustment circuits. Alternatively, the frequency

Generally, each plasma applicator has an upper Wave

dependent Wave adjustment circuits can be used alone or in

adjustment circuit (e.g., WACla, WAC2a. . . WACna) and a 25 combination With other Wave adjustment circuits. Other loWer Wave adjustment circuit (e.g., WAClb, WAC2b. . . variations, alternative constructions, and modi?cations also

may be possible depending upon the application. With regard to operation of the Wave adjustment circuits,

WACnb). The combination of upper and loWer Wave adjust ment circuits are used to adjust the plasma source potential for each plasma source Zone. Alternatively, a single Wave

adjustment circuit can be used for each plasma applicator. Each Wave adjustment circuit can provide substantially the same impedance characteristics, or substantially distinct impedance characteristics. Of course, the particular con?gu ration used Will depend upon the application. For instance, multiple plasma applicators can be used to employ distinct excitation frequencies for selected Zones in a variety of applications. These applications include ?lm

various embodiments can be used, as illustrated by FIG. 2E. 30

some embodiments, the average rf plasma potential is main

tained close to ground potential by providing substantially equal phase 90, 81 and anti-phase 91, 82 capacitively 35

embodiments, or any other embodiments 94. In alternative embodiments, it is desirable to maintain an 40

by Way of the multiple plasma applicators. Speci?cally, at least one of the plasma applicators Will de?ne a cleaning plasma used for cleaning purposes. In one embodiment, this cleaning plasma can have an oxygen containing species. This cleaning plasma is de?ned by using an oxygen discharge, Which is sustained by microWave poWer to a

45

these embodiments, the Wave adjustment circuit provides a

deliberate imbalance betWeen the phase and anti-phase of the coupled voltages. In some embodiments 97, this occurs 50

tors can provide a multi-Zone (or multi-chamber) plasma source Without the use of conventional mechanical separa

tion means (e.g., bal?es, separate process chambers, etc.). Alternatively, the degree of interaction betWeen adjacent

55

Zones or chambers can be relaxed oWing to the use of

chambers, each With its oWn control via its oWn plasma 60

inductively coupled current, etc.). These Zones can be used alone or can be combined With other Zones. Of course, the

particular con?guration Will depend upon the application. In the present embodiments, the Wave adjustment circuit can be made from any suitable combination of element(s)

by shifting the Zero voltage nodes along the process chamber axially, thereby achieving a bias relative to the plasma discharge. As shoWn, the phase 95 is imbalanced relative to its anti-phase 96. In other embodiments 99, one phase portion 84 is imbalanced by Way of a different period relative to its complementary phase portion 85. Other embodiments are provided Where the source plasma voltage is loWer relative to ground potential. In the embodiments Where imbalance is desirable, the potential difference

voltage potential control via Wave adjustment circuits. This plasma source provides for multiple plasma source

applicator. Accordingly, each plasma applicator provides a physical Zone region (i.e., plasma source) With selected plasma characteristics (e.g., capacitively coupled current,

elevated source plasma voltage relative to ground potential to induce a controlled ion plasma ?ux (or ion bombardment) to the product substrate (or any other chamber bodies). These embodiments are provided by selecting distinct elec trical lengths for each of the Wave adjustment circuit sec tions such that the capacitive coupled current from a phase section of the inductive plasma applicator is in excess of

capacitive coupled current from the anti-phase portion. In

cavity or resonant microWave chamber abutting or surround ing a conventional dielectric vessel. Of course, a variety of other processes also can be performed by Way of this

multiple plasma applicator embodiment. This present application using multiple plasma applica

coupled portions of the inductive applicator. This can occur in multi-Wave embodiments 92, full-Wave embodiments 93,

half-Wave multiple embodiments, quarter-Wave multiple

deposition using plasma enhanced chemical deposition, etching by Way of ion enhanced etching or chemical dry etching and others. Plasma cleaning also can be performed

The Wave adjustment circuits are used to select a Wave

length portion to be applied in the plasma applicator. In

65

betWeen the phase and anti-phase potential portions is reduced (or minimiZed) When the amount of sputtering (e. g., Wall sputtering, etc.) is reduced. The amount of sputtering, hoWever, can be increased (or maximiZed) by increasing the potential difference betWeen the phase and anti-phase poten tial portions. Sputtering is desirable in, for example, sput tering a quartZ target, cleaning applications, and others. Of course, the type of operation used Will depend upon the

application.

(19) United States (13) Reissued Patent

May 15, 2001 - plasma discharge. One aspect of the present technique relies upon multi-stage etching processes for selectively removing a ?lm on a workpiece using diifering temperatures. It overcomes serious disadvantages of prior art methods in which throughput and etching rate were lowered in order to.

2MB Sizes 3 Downloads 180 Views

Recommend Documents

(19) United States (12) Reissued Patent
cal classi?cations. The invention ... ment to select the best such scheme for the patient. 31 Claims, 2 ..... Director 0fthe United States Patent and Trademark O?ice.

(19) United States (12) Reissued Patent
(54) WATER SOFTENER MONITORING SYSTEM ... (63) Continuation-in-part of application No. ... See application ?le for complete search history. (56).

(19) United States (12) Reissued Patent
resonator positioned in the path of the acoustic energy. The analog signal ..... station has a sound source that generates a sound Wave that is ..... the foregoing list of materials can all be effective resonators. The base .... An alternative arrang

(19) United States (12) Reissued Patent
the shaft of the variable poWer source for in?uencing rota tion of the sun gear .... power combining apparatus according to a second alternate embodiment of the ...

(19) United States (12) Reissued Patent
tions, Computer Science Press (H.T. Hung, et al., Ed.), Carnegie. Mellon University, 1981. ..... a lower degree of integration, and typically dissipate 20 watts or more. .... pixel output just after reset, before and after signal charge transfer to F

(19) United States (12) Reissued Patent
30, No. 7, pp. 8974909 (1995). Hein et al, “Die Kristallisation and Schmelzen aus metallur gischer Prozess ... Crystal GroWth,” AT&T Technical Journal, Jan/Feb. 1989, pp. ..... brought into contact With at least a portion of the solid car bon is

(19) United States (12) Reissued Patent
Jun 7, 2007 - 2, 1997. US. Applications: (63) Continuation of application No. ... array having sub-word lines and sub-bit lines intersecting .... 4 N m N /0.

(19) United States (12) Reissued Patent
Antigens of interest may be associated with a .... interest, has been reversed by protease digestion of formalin ?xed tissues in .... Most effective aldehyde releasing reagents for the practice .... The treatment temperature affects reaction rate in

(19) United States (12) Reissued Patent
maintenance ?ows. From the structural standpoint the ..... The computer-readable medium ofclaim 10, wherein the pointer M] in the?rst tablefor switching in the ...

(19) United States (12) Reissued Patent
http://WWW.sW—psd. W.com/psdW/neWs/00—9ihandcuffs.htm, Sep. 2000, 2. Pages. James L. ... COIIl/NIJi. Standard—0307.01.html, Mar. 1982, 9 Pages. Galls .... Matter enclosed in heavy brackets [ ] appears in the original patent but forms ...

(19) United States (12) Reissued Patent
out a mask at a dose that alloWs the developer to thin the photoresist to a ..... sist planariZation process that is loW cost and can be readily implemented in a ...

(19) United States (12) Reissued Patent
Chang, Luke et al., “Digital Visual Interface”, Dell Com. 5,3()(),g93 A. 4/ 1994 .... device, such as in laptop computer, or may be transmitted over a cable that is ...

(19) United States (12) Reissued Patent
Foreign Application Priority Data. May 29 ..... from ELISA data using regression analysis performed by. Minitab statistical analysis softWare (Minitab Inc., State.

(19) United States (12) Reissued Patent
US RE41,688 E. ( START ). OPERATE sERvO ws1. SYSTEMS. \. CHECK LASER. ~52. POWER s3. DISC IS. NO my (S9 '7. YES. READ OUT MANAGEMENT. 54.

(19) United States (12) Reissued Patent
Sep 28, 2009 - Complaint ?led Aug. 27, 2010, V-Dot Technologies, LLC v. Acer, .... between a client computer and an internet service provider server. From the ...

(19) United States (12) Reissued Patent
for example, as a collector of solar energy or as a light conduit. The performance of the ?lm may be manipulated to permit controlled light leakage. 43 Claims, 4 ...

(19) United States (12) Reissued Patent
“Information Technology-SCSI Architecture Model-2 (SAM-2),”. T10 Technical Committee .... 31, 1991, pp. 333-363. EDS and ASI Wireless Team to Provide Industry-First Complete and. Secure A-Key Programming solution, Business Wire, Mar. ..... One of

(19) United States (12) Reissued Patent
348/17. (73) Assignee: Samsung Electronics Co., Ltd. (KR). (Continued) .... U.S. Patent. Apr. 1,2008. Sheet 4 or 11. US RE40,201 E. Sow 6.SoQml-I x3: _l |l IlII._.

(19) United States (12) Reissued Patent
[Accordingly, a drive motor (not shoWn) rotationally drives one of the support ..... recovery screW 79 collects the toner, recovered by the photo sensitive body ...

(19) United States (12) Reissued Patent
ply with product speci?cations or to ensure compliance with environmental regulations. The most common method of removal of the sulfur com pounds is by ...

(19) United States (12) Reissued Patent
Feb 13, 2009 - Primary Examiner * ShaWntina Fuqua. Assistant Examiner * Vinod D Patel. (74) Attorney, Agent, or Firm * Buchanan Ingersoll &. Rooney PC.

(19) United States (12) Reissued Patent
De Martino et al., “Electron microscopic and biochemical studies of the ..... US RE40,862 E. CUMULATIVE TUMOR SIZE (Sq. cm.) 40. 35. 30. 25. 2O l5. IO. 5. 0.

(19) United States (12) Reissued Patent
11/1965 Masters et al. 9/1969 Widegren. 9/1975 SpatZ et al. 9/1975 Levine et al. 11/1975 Montgomery. 12/1982 Costa. 9/1983 Montgomery. 7/1984 Holland.

(19) United States (12) Reissued Patent
Jul 7, 2000 - “FolioPub: A Publication Management System”, J. H. Schlichter et al., Computer, vol. 21, No. 1. pp. 61-69 XP000052069 New York,. USA, Jan.