Comparative study of SiOCH low-k films with varied porosity interacting with etching and cleaning plasma D. Shamiryan,a),b) M. R. Baklanov, S. Vanhaelemeersch, and K. Maexb) IMEC, Leuven 3001, Belgium

共Received 12 February 2002; accepted 1 July 2002兲 The interaction between conventional and highly porous SiOCH with CF4 , O2 , and H2 plasma has been investigated. The highly porous SiOCH film has porosity about 40% and a k value about 2.2. The pristine SiOCH film has 19% of porosity and k value of 2.7. All experiments were performed at room temperature in a downstream plasma reactor. It was found that 共i兲 the CF4 plasma etches the SiOCH film without bulk material modification 共however, the etch rate was higher in the case of the SiOCH film with increased porosity兲; 共ii兲 the O2 plasma oxidizes the SiOCH film converting the top layer to a hydrophilic SiO2 -like porous material, the SiOCH film with increased porosity suffers more severely from this kind of plasma; 共iii兲 the CF4 /O2 plasma mixture has an optimal O2 concentration at which the etch rate is maximal; and 共iv兲 the H2 plasma does not interact with the SiOCH film and can be a promising candidate for the resist stripping. © 2002 American Vacuum Society. 关DOI: 10.1116/1.1502699兴

I. INTRODUCTION The feature size in advanced ultralarge scale integration production continuously scales down to achieve high-speed and high device performance. With a decrease in feature size combined with an increase of packing density, resistance– capacitance 共RC兲 delay becomes a major limitation for the device performance.1 RC delay can be reduced by using Cu instead of Al for approximately 35% resistance reduction; or by using intermetal dielectric with dielectric constant k lower than that of SiO2 (⬇4), so called low-k dielectrics. Replacement of SiO2 by air (k⫽1) and Al by Cu with the same geometry can reduce RC delay by 75%.2 Spin-on glass deposition was the only method realized so far for preparation of ultra low-k (k⬍2.2) dielectrics. However, it is very desirable to obtain such a film by chemical vapor deposition 共CVD兲 technique mainly because of compatibility with existing silicon processing technology. The ultralow-k SiOCH film prepared by CVD was reported recently.3 Materials considered as candidates for the CVD deposition commonly have a composition similar to SiOCH and are deposited with different precursors and different ratio of components. The introduction of porosity into a dielectric lowers its dielectric constant. A change of porosity can possibly affect the etch behavior of a film. Therefore, it is important to study the interaction of highly porous SiOCH film with different plasmas. The information obtained from this study can be used not only for ex situ modified SiOCH film, but also for a future generation of ultralow-k CVD SiOCH films. Plasma dry etch techniques are used for pattern transfer into low-k films because of the high anisotropy of the process. Fluorocarbon plasma 共pure or in combination with oxygen plasma兲 is commonly used for the etching of primarily a兲

Electronic mail: [email protected] Also at: E. E. department at K. U. Leuven.

b兲

1923

J. Vac. Sci. Technol. B 20„5…, SepÕOct 2002

inorganic low-k dielectrics.4 It was found that in the case of silicon carbide etching, the etch rate has a maximum at a certain fluorocarbon/oxygen ratio.5 Similar behavior was found for the SiOCH film.6 This fact is explained by competitive etch and oxidation processes. Plasma treatment is also widely used for postetch treatment, such as resist strip or etch residue cleaning. Oxygen plasma is commonly used for the dry resist strip after dry etching. However, oxygen plasmas oxidize most of the lowk dielectrics, removing hydrophobic Si–H or Si–CH3 bonds, which makes these films hydrophilic. In other words, the oxygen plasma destroys not only the low-k properties of MSQ, HSQ,7,8 and organosilica glasses, but also SiOCH9 films that normally are more chemically stable. Interaction between SiOCH and oxygen plasma leads to a distortion of trench profiles.10 On the other hand, a low-pressure O2 /N2 reactive ion etching 共RIE兲 plasma was used for resist strip without significant trench profile distortion in the SiOCH film,6,10 however, the outer layer of the SiOCH film still can be modified by the oxygen plasma. Due to the undesired effects of oxygen plasma on most low-k films, other resist strip techniques have to be found. One of the promising candidates for the dry resist strip is hydrogen plasma. It was found, for instance, that the hydrogen plasma has positive effect on HSQ11 and MSQ12 based low-k films. The films were found to be more resistant to oxygen plasma and subsequent moisture uptake after a hydrogen plasma treatment had been performed. Addition of H2 into C2 F6 etch plasma was found to close pores at the surface and planarize the surface of SiO2 aerogel.13 The goal of this article is to investigate the possibility of using CF4 /O2 mixture for patterning of highly porous SiOCH films, study interaction of the SiOCH film with O2 plasma, and examine the resistance of the SiOCH film to H2 plasma for possible dry strip applications. The modified SiOCH film has a higher porosity; thus, it should be more

1071-1023Õ2002Õ20„5…Õ1923Õ6Õ$19.00

©2002 American Vacuum Society

1923

1924

Shamiryan et al.: Comparative study of SiOCH low-k films

1924

TABLE I. Gas flows for different types of plasmas. Plasma type

H2 /N2 flow 共sccm兲

N2 flow 共sccm兲

O2 flow 共sccm兲

CF4 flow 共sccm兲

H2 O2 CF4 CF4 /O2

40 0 0 0

0 20 20 20

0 7 0 0–10

0 0 6 10–0

sensitive to the plasma treatment than the pristine SiOCH film. II. EXPERIMENT The SiOCH low-k films were deposited by plasma enhanced oxidation of (CH3 ) 3 SiH by N2 O at 400 °C in the Applied Materials P5000 CVD tool.10 An as-deposited SiOCH film had a dielectric constant close to 2.7 and refractive index of 1.42 at 632.8 nm. Then these films were modified in a 2% HF water solution for 5 min. Such treatment results in an increase of porosity from 19% to 40%, an increase of mean pore size14 from 0.8⬍x⬍1.5 nm to about 4 nm, and decrease of k value15 down to 2.2. Henceforth, pristine SiOCH films obtained from 3-methylsilane will be referred as 3MS and SiOCH films modified by HF will be referred as M3MS. Both types of films were then treated by different kinds of plasma in a downstream plasma source from Mattson Technology Inc. N2 /H2 , N2 /O2 , N2 /CF4 , and N2 /CF4 /O2 plasmas were used for experiments. The experiments were carried out at room temperature when the substrate was grounded. Nitrogen was added to obtain a stable discharge. Discharge conditions were the following: Pressure was equal to 400 mTorr, rf 共13.56 MHz兲 power was equal to 430 W. Gas flows for different types of plasma are summarized in Table I. The plasma reactor was equipped with a single wave 共632.8 nm兲 ellipsometer 共SENTECH 401兲 that allows in situ ellipsometric measurements during plasma treatment. Before and after plasma treatment, the films were investigated by the following techniques. Time of flight secondary ions mass spectrometry 共TOF-SIMS ION-TOF IV兲; ellipsometric porosimetry;16 transmission electron microscopy 关共TEM兲 Philips CM-30兴; scanning electron microscopy 关共Hitachi S4500兲兴; Fourier transmission infrared 共FTIR兲 spectrometry 共BioRad FTIR spectrometer兲; and water contact angle measurements. III. RESULTS AND DISCUSSION A. Fluorocarbon plasma

Both 3MS and M3MS films were treated in the fluorocarbon plasma for various times up to 5 min. Refractive index was stable for both films, while the thickness monotonically decreased with the etch time. The etch rate was found to be 14 nm/min and 23 nm/min for 3MS and M3MS, respectively. The higher etch rate of M3MS can be explained by higher porosity of that film. Moreover, the increase in etch rate is proportional to the increase of the film porosity 共or in other J. Vac. Sci. Technol. B, Vol. 20, No. 5, SepÕOct 2002

FIG. 1. Water contact angle of 3MS 共a兲 and M3MS 共b兲 after hydrogen 共filled circles兲, fluorocarbon 共open triangles兲 and oxygen 共filled squares兲 plasma exposure.

words to the decrease of the film density兲. The porous structure and FTIR spectra remained unchanged, while the water contact angle decreased 共not much below 50°兲 with the fluorine plasma treatment 共Fig. 1兲. Results of the TOF-SIMS analysis are present in Fig. 2. Figure 2共a兲 shows F-cluster intensities before and after 5 min fluorine plasma treatment of 3MS film, while Fig. 2共b兲 shows the same data for M3MS film. An increase in fluorine concentration of the surface area of both films is clearly seen. The results clearly indicate that the fluorocarbon plasma forms an F-rich layer only at the surface. The F-rich layer depth is not significant in both cases. This fact suggests that the recombination probability of the fluorine atoms on the SiOCH surface is quite high. Intensities of Si, C, and O cluster remained unchanged for both films. The following conclusions can be drawn from considering the aforementioned data. The fluorine plasma etches the SiOCH film leaving some fluor-contained compounds such as CFx and SiFx (x⬍4) at the surface. These data are in agreement with the study of SiCH etching in CF4 plasma,5 where a fluorinated layer was found on top of the film. The top surface is more hydrophilic 共it has a lower water contact angle兲; however, bulk film should remain hydrophobic, be-

1925

Shamiryan et al.: Comparative study of SiOCH low-k films

FIG. 2. TOF-SIMS intensities of F cluster obtained for 3MS 共a兲 and M3MS 共b兲 films. Thin curves represent films before plasma treatment, while bold dashed curves represent the same films after CF4 plasma treatment. Total fluorine content in M3MS film is higher due to HF modification. It should be noted that the depth of F-rich layer after plasma treatment is almost the same for both films.

⫺1

cause no OH peak 共at about 3500 cm 兲 was observed in FTIR spectra of films after fluorine plasma treatment. Because no changes were found in the bulk film, fluorine plasma is a good candidate for patterning of SiOCH films. B. Oxygen plasma

Both 3MS and M3MS films were treated in oxygen plasma for various times up to 5 min. The refractive index as well as thickness of both films decreased during plasma TABLE II. Refractive indices 共RI兲 and thickness of 3MS and M3MS films before and after 5 min oxygen plasma treatment. Before plasma treatment Film 3MS M3MS

After plasma treatment

RI at 633 nm

Thickness 共nm兲

RI at 633 nm

Thickness 共nm兲

1.42 1.27

406 408

1.38 1.20

375 302

JVST B - Microelectronics and Nanometer Structures

1925

FIG. 3. FTIR spectra of 3MS 共a兲 and M3MS 共b兲 film after different time of oxygen plasma treatment. Spectra shifted for clarity. A broad peak at about 3500 cm⫺1 indicates presence of water.

treatment. Refractive indices and thickness of both films before and after treatment are summarized in Table II. FTIR spectra for the films after different oxygen plasma treatment time are shown in Fig. 3. Figure 3共a兲 represents 3MS film while Fig. 3共b兲 represents M3MS film. From Fig. 3共b兲, one can see that with increasing treatment time peak intensities of C–H 共at about 2970 cm⫺1 兲, Si–CH3 (1270 cm⫺1 ), Si–H (840 cm⫺1 ), and Si–C (800 cm⫺1 ) drastically decreased relative to Si–O (1080 cm⫺1 ) peak intensity. The peak at 1040 cm⫺1 , which can be attributed to C–H or Si–CH2 , is also decreased after the oxygen plasma treatment. At the same time, a broad peak at about 3500 cm⫺1 , attributed to water, appeared after oxygen plasma treatment. 3MS film shows the same behavior but with a lesser extent due to the lower porosity. In Fig. 4, TOF-SIMS intensities of C and O clusters are plotted as a function of the ion sputter time for 3MS and M3MS. On the top surface of the film, intensity of C cluster is drastically decreased, while O-cluster intensity increased in the same thickness region 关Figs. 4共a兲 and 4共b兲兴. The porous M3MS film suffered even more severely un-

1926

Shamiryan et al.: Comparative study of SiOCH low-k films

1926

FIG. 4. TOF-SIMS intensities of oxygen 关共a兲 and 共c兲兴 and carbon 关共b兲 and 共d兲兴 clusters obtained for 3MS 关共a兲 and 共b兲兴 and M3MS 关共c兲 and 共d兲兴 films before 共thin curves兲 and after 共bold dashed curves兲 5 min O2 -plasma exposure. Si intensity was not changed. Top layer of the films is converted to SiO2 -like layer by oxidizing in O2 plasma. Conversion is much more pronounced in the case of M3MS film due to higher porosity.

der the same treatment conditions—almost half of the film was converted to SiO2 -like material. This conversion can be observed visually in the transmission electron microscopy 共TEM兲 micrographs presented in Fig. 5. The top surface layer of 3MS film is clearly seen with different intensity in Fig. 5共a兲, while almost half of the M3MS film seems to be converted 关Fig. 5共b兲兴. The surface of both films becomes highly hydrophilic as can be concluded from the water contact angle measurement 共see Fig. 1兲. Less contact angle reduction, in the case of an M3MS film, can be explained by a lower surface material density due to higher porosity of M3MS film.17 For an M3MS film, after 5 min of oxygen plasma treatment, the top converted layer is hydrophilic, as a clear OH peak has been observed in the FTIR spectra 关Fig. 3共b兲兴. This peak is not clearly visible on the 3MS film FTIR spectra because the converted layer is relatively thin. The porous structure of an M3MS film after 5 min oxygen plasma treatment has also been changed. Figure 6 showed the pore radius distribution of an M3MS film before and after oxygen plasma treatment. The pore radius distribution became broader after the treatment. Changes in porous structure of a 3MS are less pronounced because of the relatively thin modified layer. From the aforementioned data, one can make the following conclusions. Oxygen plasma oxidizes SiOCH film and converts it to a SiO2 -like material, as can be seen from FTIR and TOF-SIMS data. These conclusions are consistent with J. Vac. Sci. Technol. B, Vol. 20, No. 5, SepÕOct 2002

the data obtained by Furusawa et al.9 This conversion occurs much faster in the case of the porous SiOCH film 关cf. Figs. 5共a兲 and 5共b兲兴. The top converted layer is hydrophilic and highly porous. Moreover, SiOCH film shrinks in oxygen plasma. Therefore, a downstream oxygen plasma can not be used in processing of SiOCH low-k film, e.g., for the resist stripping. The results presented herein suggest that the etch behavior of conventional and porous SiOCH is generally similar to the SiCH.5 The SiCH surface was found to be oxidized by oxygen plasma and the obtained oxide can be very quickly etched by fluorine-containing plasma. The optimal etch recipe was based on the optimal mixture of fluorocarbon and oxygen plasma. This approach can also be used for SiOCH films as will be shown in the next section. C. FluorocarbonÕoxygen mixture plasma

M3MS film was etched in CF4 /O2 plasma with different O2 percentage. The oxygen content was varied from 0% to 100% in 10% increments. Relative etch rate of M3MS film as a function of the oxygen content is plotted in Fig. 7. The etch rate was normalized to allow direct comparison with the etch rate of SiCH in the same type of plasma. Both dependencies have the same behavior. The whole region of O2 content can be divided in three parts. At low oxygen concentration as well as at highest oxygen concentrations the etch rate is very low. There is an optimal oxygen concentration at which the etch rate reaches a maximum. In the case of

Shamiryan et al.: Comparative study of SiOCH low-k films

1927

1927

FIG. 6. Pore radius distributions of M3MS films before 共filled circles兲 and after 共open squares兲 5 min O2 plasma treatments. Distributions shifted for clarity. After O2 plasma treatment larger pores with radii ⬎2.5 nm appeared.

FIG. 5. TEM micrographs of 3MS 共a兲 and M3MS 共b兲 films after 5 min O2 plasma treatment. In the case of M3MS, the converted layer is much thicker due to higher porosity.

M3MS, this maximum is located between 0% and 10% O2 with the etch rate at 10% O2 is equal to 1350 nm/min, which is almost 60 times higher than in case of pure CF4 plasma 共1350 nm/min and 23 nm/min, respectively兲. For SiCH film, the optimal oxygen concentration is higher and close to 35%. Such a behavior can be explained in the following way. At low oxygen concentrations 共or no oxygen兲, the film is etched by fluorine plasma with quite low etch rate as was described previously. At high oxygen concentrations, the film is mostly oxidized as also discussed previously. The silicon oxide resulting from oxidation is then etched by CF4 at a high rate. At the optimal conditions 共at a certain oxygen concentration兲, the oxidation rate is equal to the etch rate. Etching in CF4 /O2 plasma can be simplified as follows: O

SiOC→ SiO2 ⫹CO2 ↑,

共1兲

F

SiO2 → SiF4 ⫹O2 ↑,

共2兲

where O and F represent elements in any form existing in the plasma 共molecule, atom, or ion兲 and Eqs. 共1兲 and 共2兲 describe oxidation and etching, respectively. At low oxygen concentrations, oxidation is a rate-limiting step while at a high oxygen concentration, etching becomes a rate-limiting step. In the case of SiCH, the shift of the optimal point towards JVST B - Microelectronics and Nanometer Structures

higher oxygen concentration can be explained by the fact that SiCH needs more oxygen to be oxidized to SiO2 than SiOCH does. This fact can be used in etching of SiOCH selectively toward SiCH. CF4 /O2 plasma with 10% O2 should etch a SiOCH film much faster than SiCH. The following model is proposed for interaction between a SiOCH film and fluorine/oxygen plasma 共see Fig. 8兲. In the case of pure fluorine 共or low oxygen concentration/fluorine兲 plasma, SiOCH is etched with a quite low etch rate due to the passivation of the surface by SiFx /CFx compounds (x ⬍4). As a result, the surface is covered with thin layer of those compounds as illustrated by Fig. 8共a兲. The surface becomes hydrophilic; however, the bulk of the film is not affected. In the case of pure oxygen 共or high oxygen concentration/fluorine兲 plasma, SiOCH film is intensively oxidized; and a SiO2 -like layer is formed on top of the film 关Fig. 8共c兲兴. This layer is highly hydrophilic and has a thickness 共after 5 min of plasma treatment兲 varied from about 75 nm at 19% porosity to about 300 nm at 40% porosity. Interaction of fluorocarbon/oxygen plasma with SiOCH occurs in two steps. First, film is oxidized 关see reaction 共1兲兴, then, secondly, formed silicon oxide is etched away by fluorine 关see reaction 共2兲兴. For low oxygen concentrations, the etch limiting step is SiOCH oxidation, while for low fluorine concentration, the limiting step is the formation of volatile reaction products. At the optimal oxygen concentration 共10% in the case of SiOCH, 35% in the case of SiCH兲, the oxidation rate is equal to the etch rate and the total etch rate has its maximal value 关Fig. 8共b兲兴. A decrease of the relative C concentration in the case of SiOCH shifts these optimal points toward lower oxygen plasma concentration as compared to SiCH. D. Hydrogen plasma

Both 3MS and M3MS films were treated in hydrogen plasma for various times up to 10 min. No change in the

1928

Shamiryan et al.: Comparative study of SiOCH low-k films

1928

FIG. 8. Model of SiOCH film interaction with CF4 /O2 plasma. Nonvolatile silicon and carbon fluorides are formed with lack of oxygen 共a兲. In the case of oxygen excess, the film is oxidized to SiO2 -like layer, loosing its low-k properties 共c兲. At optimal oxygen concentration, the oxidized layer is removed with the same rate as it formed 共b兲.

FIG. 7. Etch rate of SiC 共filled squares兲 and SiOCH 共open circles兲 films as function of O2 percentage in CF4 /O2 plasma mixture. Etch rates were normalized to allow direct comparison because experiments were carried out on different etch tools.

refractive index and thickness of the films was found by in situ ellipsometry during the hydrogen plasma treatment. Water contact angle 共see Fig. 1兲, FTIR spectra, and porous structure of either 3MS or of M3MS films were also not affected by hydrogen plasma. It can be concluded that the SiOCH films are stable in hydrogen plasma at room temperature. Therefore, hydrogen plasma can be considered as a potential candidate for the resist stripping after dry etching. IV. CONCLUSIONS The following conclusions can be drawn up from the previously described results. There is no qualitative difference in behavior of a SiCH film, a pristine SiOCH film 共19% porosity兲 and a modified SiOCH film 共40% porosity兲 during plasma exposure. The SiOCH film with higher porosity interacts with plasma faster than the low-porous SiOCH film. The key factors of the etch behavior are the Si/C ratio, oxygen content, and porosity of the film. Thus, all conclusions next will be valid for both types of SiOCH film, taking the aforementioned statement into account. No interaction with hydrogen plasma at room temperature was observed for the SiOCH films. The following recommendation for SiOCH 共pristine as well as with higher porosity兲 film patterning can be worked out. 共i兲 共ii兲 共iii兲

SiOCH film can be effectively etched by fluorine/ oxygen plasma. There is some optimal oxygen concentration at which etch rate is maximal. The difference in the optimal oxygen concentration for different films 共35% for SiCH, 10% for SiOCH兲 can be used to develop selective etch processes. Pure oxygen plasma can not be used for SiOCH film processing 共e.g., resist stripping兲 because of fast oxi-

J. Vac. Sci. Technol. B, Vol. 20, No. 5, SepÕOct 2002

共iv兲

dation and destruction of low-k properties of the film. Even if RIE oxygen plasma does not readily modify pristine SiOCH film, this effect will be much more pronounced in the case of higher porosity. Hydrogen plasma might be a promising candidate for resist strip applications.

ACKNOWLEDGMENTS The authors would like to thank Mattson Inc. for supplying the plasma source, Thierry Conard and Olivier Richard for performed measurements, Ivan Callant for technical support of the equipment, and Quoc Toan Le for the fruitful discussion. P. Singer, Semicond. Int. 21, 90 共1998兲. W. W. Lee and P. S. Ho, MRS Bull. 10, 19 共1997兲. 3 A. Grill and V. Patel, Appl. Phys. Lett. 79, 803 共2001兲. 4 T. E. F. M. Standaert, P. J. Matsuo, S. D. Allen, G. S. Oehrlein, and T. J. Dalton, J. Vac. Sci. Technol. A 17, 741 共1999兲. 5 M. R. Baklanov, M. Van Hove, G. Mannaert, S. Vanhaelemeersch, H. Bender, T. Conard, and K. Maex, J. Vac. Sci. Technol. B 18, 1281 共2000兲. 6 M. Lepage, D. Shamiryan, M. R. Baklanov, H. Struyf, G. Mannaert, S. Vanhaelemeersch, K. Weidner, and H. Meynen, Proceedings of the International Interconnect Technology Conference—2001, pp. 174 –176. 7 C. F. Yeh, Y. C. Lee, Y. C. Su, K. H. Wu, and C. H. Lin, Jpn. J. Appl. Phys., Part 2 39, L354 共2000兲. 8 E. Kondoh, T. Asano, A. Nakashima, and M. Komatu, J. Vac. Sci. Technol. B 18, 1276 共2000兲. 9 T. Furusawa, D. Ryuzaki, R. Yoneyama, Y. Homma, and K. Hinode, Electrochem. Solid-State Lett. 4, G31 共2001兲. 10 D. Gray, M. Loboda, H. Struyf, M. Lepage, M. Van Hove, R. A. Donaton, E. Sleckx, M. Stucchi, F. Lanckmans, T. Gao, W. Boullart, B. Coenegrachts, M. Maenhoudt, S. Vanhaelemeersch, H. Meynen, and K. Maex, in Shock Compression in Condensed Matter—1997, edited by K. Maex et al. 共Material Research Society, New York, 2000兲, Vol. 612, pp. 651– 657. 11 T. C. Chang, P. T. Liu, F. Y. Shih, and S. M. Sze, Electrochem. Solid-State Lett. 2, 390 共1999兲. 12 T. C. Chang, P. T. Liu, Y. J. Mei, Y. S. Mor, T. H. Perng, Y. L. Yang, and S. M. Sze, J. Vac. Sci. Technol. B 17, 2325 共1999兲. 13 S. J. Wang, H. H. Park, and G. Y. Yeom, Jpn. J. Appl. Phys., Part 1 39, 7007 共2000兲. 14 D. Shamiryan, M. R. Baklanov, S. Vanhaelemeersch, and K. Maex, Electrochem. Solid-State Lett. 4, F3 共2001兲. 15 D. Shamiryan, M. R. Baklanov, S. Vanhaelemeersch, and K. Maex 共unpublished兲 16 F. N. Dultsev and M. R. Baklanov, Electrochem. Solid-State Lett. 2, 192 共1999兲. 17 A. W. Adamson, Physical Chemistry of Surfaces, 5th ed. 共Wiley, New York, 1990兲, p. 388. 1 2

Comparative study of SiOCH low-k films with varied ...

at room temperature in a downstream plasma reactor. It was found that i the ..... etch rate was normalized to allow direct comparison with the etch rate of SiCH in ...

251KB Sizes 0 Downloads 120 Views

Recommend Documents

Comparative Study of Reversible Image ...
Hiren R. Soni , IJRIT. 161. IJRIT International Journal of Research in Information Technology, Volume 1, Issue 4, April 2013, Pg. 31-37. International Journal of ...

A COMPARATIVE STUDY OF NURSING EDUCATIONAL SYSTEM ...
Retrying... Whoops! There was a problem previewing this document. Retrying... Download. Connect more apps... Try one of the apps below to open or edit this item. Main menu. Whoops! There was a problem previewing A COMPARATIVE STUDY OF NURSING EDUCATI

A COMPARATIVE STUDY OF DISCRIMINATIVE ...
Center for Signal and Image Processing, Georgia Institute of Technology. 75 Fifth ... we call cross-layer acoustic modeling in that the model discrimina- tion is often at ..... lated cross-layer error cost embedded on the WSJ0 LVCSR database.

Comparative Study of Reversible Image Watermarking: Fragile ...
Status and Key Issues", International Journal of Network Security, Vol.2, No.3, PP.161–171, May 2006. [9] Ingemar J. Cox, Matthew L. Miller, Jeffrey A. Bloom, ...

Comparative Study of Reversible Image Watermarking: Fragile ...
1 PG Student, Department of Electronics and Communication, Gujarat ... this paper is to define the purpose of reversible watermarking, reflecting recent progress ...

Comparative Study of Reversible Image ...
Reversible watermarking is a novel category of watermarking schemes. It not only can strengthen the ownership of the original media but also can completely recover the original media from the watermarked media. This feature is suitable for some impor

A STUDY OF Comparative anatomy of papillary muscles of human ...
A STUDY OF Comparative anatomy of papillary muscles of human, sheep, cow and pig.pdf. A STUDY OF Comparative anatomy of papillary muscles of human, ...

comparative study of the micro-structure of quenched ...
Treatment 2006; 48: 405 - 411. 8. Callister Jr WD ... The best earning is that coming from a man's work with his hands and every (lawful) business transaction.

SECTION IV. Current Problems of Comparative-Typological Study of ...
Apr 5, 2013 - ... from the screen. Solinger Bote online: URL: ... as the Australian or Canadian ones) with multiplicity of media, geographical area due to ..... changes in education: sink schools (schools situated in deprived areas in inner city).

SECTION IV. Current Problems of Comparative-Typological Study of ...
Apr 5, 2013 - separation of functioning forms in British and American English (in comparison with Ukrainian), a .... role of definition [Дубенец 2003: 13]. ... substantivization and apocope), pop from 1) popular music „популярна (м

Comparative Study of the Innervation Patterns of the ...
latus are presented in Figure 1, of P. sinaitus in Figure 2, and of C. jacksonii in .... trigeminal before this nerve enters the mandible, and runs medioventrally to the lower jaw to innervate the IMP. Fig. 2. Ventral view of the hyobranchial muscle

Comparative Study of Congestion Control Mechanism of Tcp Variants ...
IJRIT International Journal of Research in Information Technology, Volume 1, Issue 11, November, 2013, Pg. 505-513. International Journal of Research in Information ... Student ,Guru Tegh Bahadur Institute of Technology. Guru Gobind Singh Indraprasth

Comparative Study of Congestion Control Mechanism of Tcp Variants ...
Guide- Mr. Puneet Singh. Student ,Guru Tegh Bahadur Institute of Technology. Guru Gobind Singh Indraprastha University. Sector-16C, Dwarka, New Delhi, ...

Linear stability of ultrathin slipping films with insoluble ...
Shanghai, People's Republic of China, 200072. Received 17 February 2005; accepted 15 July 2005; published online 18 August 2005. To study the dewetting process of ultrathin slipping films, the stability characteristics of the surfactant-covered ultra

A comparative study of ranking methods, similarity ...
An illustration of eA 6 eB is shown in Fig. 6. The following ...... 0. 9. Low amount .31 .31 .36 .37 .40 .40 .99 .99 .99 .81 .50 .50 .38 .29 .29 .15 .15 .15 .02 .02 .02. 0.

A comparative study of different feature sets for ...
On experimentation with a database of. 3000 samples, the .... respectively. Once a feature set is fixed up, it is left with the design of a mapping (δ) as follows:.

A comparative study of probability estimation methods ...
It should be noted that ζ1 is not a physical distance between p and ˆp in the .... PDF is peaked or flat relative to a normal distribution ..... long tail region. .... rate. In the simulation, four random parameters were con- sidered and listed in

A Comparative Study of Differential Evolution, Particle Swarm ...
BiRC - Bioinformatics Research Center. University of Aarhus, Ny .... arPSO was shown to be more robust than the basic PSO on problems with many optima [9].

Comparative Study of Surgical Approaches for Renal Pelvic Stones ...
Page 1 of 2. Stand 02/ 2000 MULTITESTER I Seite 1. RANGE MAX/MIN VoltSensor HOLD. MM 1-3. V. V. OFF. Hz A. A. °C. °F. Hz. A. MAX. 10A. FUSED.

A comparative study on engine performance and emissions of ...
Page 1 of 7. Indian Journal of Engineering & Materials Sciences. Vol. 21, August 2014, pp. 438-444. A comparative study on engine performance and emissions of biodiesel and JP-8. aviation fuel in a direct injection diesel engine. Hasan Yamika. , Hami

comparative study of camera calibration models for 3d particle tracking ...
On the other hand, for computer vision applications, different types of nonlinear cal- .... to a small degree of misalignment in the setup of camera optics. Several ...

Comparative Study of Open-Source E-Learning ... - IEEE Xplore
e-mail: [email protected]. Abstract—As the result of the economy and operability of open- source software, many countries including China, have applied.