Low-k

dielectric materials by D. Shamiryan1, T. Abell2, F. Iacopi1,3 and K. Maex1,3

Performance improvements in microelectronic integrated circuits (ICs) over the past few decades have, for the most part, been achieved by increasing transistor speed, reducing transistor size, and packing more transistors onto a single chip. Smaller transistors work faster, so ICs have become faster and more complex. An emerging factor that may disrupt this trend is the slowing speed of signal propagation within the chip. Signal delays, caused by the interconnection wiring, increase with each generation of scaling and may soon limit the overall performance of the integrated system.

34

We all want our computers to work faster. The most direct way to increase the speed of an IC is to pack in more transistors that are smaller and faster. For the last two decades, device feature size has decreased from 1 µm down to 90 nm, increasing the working frequency of microprocessors from 66 MHz to 4 GHz. However, not all IC components work faster when decreased in size. While continuous shrinking makes transistors faster, it makes interconnections between transistors work slower (Fig. 1).

1IMEC, Kapeldreef 75, 3001 Leuven, Belgium 2Intel affiliate researcher at IMEC 3Also at Katholieke Universiteit Leuven E-mail: [email protected]

Why is this so? The answer is interconnection delay. Any interconnection can be represented (Fig. 2) as a chain of resistors (wires) and capacitors (insulating dielectric between wires). A good figure of merit to characterize interconnects is resistance-capacitance (RC), which is a unit of time. A signal propagating through the interconnection experiences RC delay. Shrinking the cross-section of a wire increases its resistance and bringing wires closer together increases capacitance between the wires. As a result, RC delay increases as device size decreases. It is predicted that RC delay will soon exceed transistor speed, becoming a serious limitation to performance improvement. Since scaling down dimensions works against RC delay, the only way to bring down resistance and capacitance is to use other metals (with lower resistivity) and dielectrics (with lower dielectric constant) instead of the conventional Al and SiO2, respectively. The replacement of Al with Cu (36% decrease in resistivity) was the first step taken to address RC delay. The best conductor, Ag, has a resistivity only 6% lower than that

January 2004

ISSN:1369 7021 © Elsevier Ltd 2004

REVIEW FEATURE

Fig. 1 As transistor size decreases, operation speed increases. However, the operation speed of wiring decreases because of the delay in signal propagation through interconnect lines. At some point, the interconnects become slower than the transistors and limit the performance of the electronic device. Reducing interconnect delay is of key importance.

of Cu. Considerable effort has been necessary to successfully integrate Cu into IC manufacturing. Changing materials in IC processing requires intensive research, development, and integration engineering. Replacing SiO2 has not been a straightforward process and is a major undertaking in materials design and engineering. In principle, any material with a dielectric constant k lower than 4.2 is of interest (so called low-k dielectrics), but the k value is only one of many required properties. In this paper, we will briefly review ways of reducing k, survey the available low-k materials, and identify the problems associated with their integration in microelectronic circuits. A more detailed treatment is given elsewhere1.

Fig. 2 Schematic view of Cu lines embedded in dielectric. Interline capacitance must be reduced in order to bring the signal propagation delay down. The photo shows a crosssection of interconnections for 90 nm technology. (Courtesy of Intel.)

How to reduce k-value Dielectric constant k (also called relative permittivity εr) is the ratio of the permittivity of a substance to that of free space. A material containing polar components, which are represented as electric dipoles (e.g. polar chemical bonds), has an increased dielectric constant (Fig. 3). The dipoles align with an external electric field, adding the electric field of every dipole to the external field. As a result, a capacitor with a dielectric medium of higher k will hold more electric charge at the same applied voltage or, in other words, its capacitance will be higher. The dipole formation is a result of electronic polarization (displacement of electrons), distortion polarization (displacement of ions), or orientation

Fig. 3 Schematic view of a capacitor. The same voltage will produce a higher electric field inside a capacitor with electric dipoles (e.g. polar bonds like Si-O) between the electrodes compared to a capacitor with vacuum between the electrodes (not shown). The ratio of these fields is called the relative dielectric constant k. The capacitance can be reduced by decreasing the k value of the dielectric between the electrodes.

polarization (displacement of molecules) in an alternating electric field. These phenomena have characteristic dependencies on the frequency of the alternating electric field, giving rise to a change in the real and imaginary part of the dielectric constant between the microwave, ultraviolet, and optical frequency range.

January 2004

35

REVIEW FEATURE

(constitutive porosity), and use of porogens (subtractive porosity). The ultimate case would be the use of air as a dielectric with the lowest possible k of 1, so called ‘air gaps’.

Classification of low-k materials

Fig. 4 Possibilities for reducing the k value of dielectrics.

There are two possible ways of reducing k: decreasing dipole strength or the number of dipoles (Fig. 4). This means using materials with chemical bonds of lower polarizability than Si-O or lower density materials. The two methods can be combined to achieve even lower k values. The IC industry has already moved to certain low-k materials, where some silica Si-O bonds have been replaced with less polar Si-F or Si-C bonds. A more fundamental reduction can be achieved by using virtually all nonpolar bonds, such as C-C or C-H, for example, in materials like organic polymers. The density of a material can be decreased by increasing the free volume through rearranging the material structure or introducing porosity. Porosity can be constitutive or subtractive. Constitutive porosity refers to the selforganization of a material. After manufacturing, such a material is porous without any additional treatment. Constitutive porosity is relatively low (usually less than 15%) and pore sizes are ~ 1 nm in diameter. According to International Union of Pure and Applied Chemistry (IUPAC) classification2, pores less than 2 nm are denoted ‘micropores’. Subtractive porosity involves selective removal of part of the material. This can be achieved via an artificially added ingredient (e.g. a thermally degradable substance called a ‘porogen’, which is removed by an anneal to leave behind pores) or by selective etching (e.g. Si-O bonds in SiOCH materials removed by HF). Subtractive porosity can be as high as 90% and pore sizes vary from 2 nm to tens of nanometers (pores larger than 2 nm are denoted ‘mesopores’). A mesoporous organic polymer can combine all three approaches: low polarizability, inherent free volume

36

January 2004

There are many available low-k materials and they can be classified into groups (Fig. 5). Low-k materials can be viewed as Si-(or, more precisely, Si-O)-containing and non-Si-containing. Si-containing materials, in turn, can be divided into two subgroups: silica-based and silsesquioxane (SSQ)-based. The principal difference between the latter two groups is the structure of their elementary units. Silica has a tetrahedral elementary unit (Fig. 6). To reduce the k value of silica, some oxygen atoms are replaced with F, C, or CH3. The addition of CH3 not only introduces less polar bonds, but also creates additional free volume. Such silicon oxycarbides (SiOCH) are constitutively porous. Historically, the first low-k materials were silica-based (F- or C-doped SiO2), since SiO2 was well understood for IC fabrication processing. In the SSQ elementary unit, Si and O atoms are arranged in a form of cube (Fig. 7). This creates free volume in the center of the cube, decreasing the material’s density and, therefore, its k value. The cubes can be connected to each other through oxygen atoms, while some cube corners are terminated by hydrogen. Such materials are called hydrogenSSQ (HSSQ). If methyl groups are present, the cubes can be connected by -CH2-, while some cube corners are terminated by CH3. This is termed methyl-SSQ (MSSQ). SSQ cubes are metastable and tend to break down to silica tetrahedra,

Fig. 5 A simplified classification scheme of low-k dielectrics.

REVIEW FEATURE

Fig. 6 A schematic representation (not to scale) of a tetrahedral silica unit (a) and the same unit of SiOCH material (b). Replacing an oxygen atom by a CH3 group reduces the k value by introducing a less polar bond and by creating additional free volume (constitutive porosity).

In IC manufacturing, low-k materials are used as thin films of around 500 nm. There are two main methods of deposition: spin coating and chemical vapor deposition (CVD). Spin-coated films can be constitutively as well as subtractively porous. Low temperatures allow the introduction of thermally degradable porogens into the mixture, which can be removed by a thermal anneal step. The anneal also induces chemical cross-linking, producing a rigid film structure regardless of subtractive or constitutive porosity. Typically, CVD films are constitutively porous. The introduction of a porogen is possible, but it is complicated by the fact that deposition usually occurs at elevated temperatures (~300°C) and is often enhanced by plasma.

Integration of low-k materials Deposition of a uniform, thin, and porous low-k film is only the first of many challenges. The real challenge is integration of the film into IC manufacturing processes (Fig. 8). Compared to SiO2, low-k materials are mechanically weak, thermally unstable, poorly compatible with other materials, able to absorb chemicals, etc. Integration of low-k materials is comparable to building a fire- and waterproof wall out of sponge rather than concrete because low weight is a concern. There are five general requirements for a low-k material to be successfully integrated: hydrophobicity; mechanical stability;

Fig. 7 A schematic representation (not to scale) of a silsesquioxane (SSQ) unit. The SSQ may contain hydrogen (HSSQ) or methyl groups (MSSQ). The cubes are metastable and tend to decompose to silica tetrahedra at elevated temperatures. The cubes are connected to each other through an oxygen atom (HSSQ) or a -CH2- radical (MSSQ).

especially at elevated temperatures. As a result, SSQ-based materials realistically represent a mixture of SSQ cubes and silica tetrahedra. Both silica- and SSQ-based materials usually have k values between three and four, which can be decreased further by porosity. Non-Si based materials are mostly organic polymers. Their main advantage is low polarizability, which results in k values as low as two without porosity. The main disadvantage of polymers is their poor compatibility with existing semiconductor processing (e.g. low thermal and mechanical stability). There are other low-k materials available (e.g. amorphous carbon3 or zeolites4), but they have not received as much attention as the three groups described.

Fig. 8 Relative dielectric constant (k value) as a function of porosity for different dielectrics. The difference between materials diminishes with increasing porosity. State-of-the-art integration of low-k materials in semiconductor processing is indicated by the shaded area.

January 2004

37

REVIEW FEATURE

thermal stability; chemical and physical stability under processing conditions; and compatibility with other materials. There is also the very important challenge for all functional materials: reliability in the user environment. Hydrophobicity A low-k material must be hydrophobic. Water has extremely polar O-H bonds and a k value close to 80. Even a small amount of absorbed water significantly increases the total k value. As water is abundant in air (typical relative humidity is 40-60%), a low-k material should be as hydrophobic as possible to prevent deterioration of its k value. This is especially important for porous materials, as they have a large surface area per unit volume where water could potentially be adsorbed. Hydrophobicity is usually achieved by the introduction of Si-H or Si-CH3 bonds. Oxygen-free organic polymers are generally hydrophobic. Mechanical stability The need for mechanical stability is primarily a consequence of the introduction of Cu as the electrical conductor in the wiring of ICs. When Al was used, the substrate was coated with Al, which was then patterned using photolithography and plasma etching. Unnecessary Al was etched away, leaving behind the wires. The space between the freestanding wires was then filled with dielectric (SiO2). Unfortunately, Cu does not form volatile compounds with reactive gases and, therefore, plasma etching cannot be used. As a result, the process scheme is reversed. First, a substrate is coated with a dielectric layer and trenches are formed by plasma etching where Cu wires should be present. A Cu layer is then deposited by electroplating to fill the trenches and excess Cu is polished away. This technology is known as ‘damascene’ because Cu lines embedded in dielectric resemble damascene decoration. In the last step of the process, the dielectric must withstand mechanical stresses during the Cu removal polish. Low-k dielectric materials must also be able to survive stresses induced by the mismatch of thermal expansion coefficients or mechanical stresses during the packaging process, when fully processed circuits are connected to the outside world. Mechanical properties quickly deteriorate as porosity increases. The Young’s modulus of bulk SiO2 decreases from 76 GPa to several GPa for materials with 50% porosity. As the Young’s modulus of a low-k material drops below 10 GPa, integration becomes far more challenging (Fig. 9). Therefore, the porosity of a low-k film should be as low as possible to provide sufficient mechanical stability.

38

January 2004

Fig. 9 Mechanical properties (Young’s modulus) of low-k films as a function of porosity. As film porosity increases, the Young’s modulus drops, making integration of the film difficult because of mechanical instabilities during processing. The photos illustrate some of the mechanical issues encountered: creep, delamination, and cohesive failure.

Thermal stability A low-k material must withstand the temperatures used for interconnect manufacturing. The temperatures can be as high as 400-450°C. This is an issue for some organic polymers, as they begin to decompose at lower temperatures, implying severe restrictions on thermal processing and reducing the choice of polymers. In SSQ-based materials, elevated temperatures cause the conversion of SSQ cubes into silica tetrahedra, increasing the k value of the material. Chemical and physical stability A low-k material must withstand other processing steps, especially etching and cleaning. For example, oxygen plasma used during patterning (trench etching) or cleaning of low-k material can break Si-H, Si-C, and Si-CH3 bonds, replacing them with Si-O. This increases the k value by introducing bonds of higher polarity and reduces hydrophobicity, which makes the material prone to water adsorption. The damaging effect is more pronounced for highly porous materials. It should be noted, though, that these processes can be tuned to reduce their effect on low-k materials. Compatibility with other materials This is a broader requirement and more difficult to specify. The three major concerns are the coefficient of thermal expansion (CTE), barrier deposition, and adhesion.

REVIEW FEATURE

Fig. 10 A schematic representation of a thin film deposited on a porous material with (a) separated mesopores connected by microchannels and (b) interconnected mesopores. As porosity increases, the mesopore connections make the deposition of a continuous film more difficult. The photos show examples of barrier integrity tests by HF dip. A fully continuous barrier (c) prevents HF from attacking the underlying dielectric, but discontinuities or ‘pinholes’ in the barrier allows HF to attack the dielectric (d).

A low-k material must be compatible with Cu in terms of CTE as described above. This is especially an issue for organic polymers, which can have significant CTE mismatches with Cu. A low-k film must also be compatible with the diffusion barrier, which is needed to prevent the penetration of Cu, known for its high diffusivity. Cu readily degrades the dielectric properties of the insulator, increasing leakage currents and decreasing breakdown voltage. As a result, the reliability of devices significantly decreases, making their lifetimes unacceptably short. Cu diffusivity drastically increases with dielectric porosity. The barrier must stop Cu diffusion with zero tolerance. It must be thin (nanometer scale) and fully dense (contain no pinholes). Covering a porous material with such a barrier is nontrivial (Fig. 10). If the material is highly porous with large pores connected to each other, the barrier may have to be unacceptably thick in order to bridge all the exposed pores. It should be noted that the barrier itself should not penetrate into the porous material, which is a possibility with some deposition

REFERENCES

techniques. Deposition of a rigorous barrier tends to be easiest when pores are small and porosity is low. Good adhesion between a low-k material and the barrier is another requirement. Otherwise, the barrier can delaminate because of the mechanical stresses induced by polishing or thermal cycling. Adhesion can also become more of an issue as the porosity of low-k materials increases. Reliability There are many issues associated with the reliability of low-k materials. These materials will be implemented into circuits and systems and their properties have to persist in typical ‘user’ environments for a sufficiently long time. Thermal conductivity strongly decreases with porosity. Consequently, heat dissipation in the wires leads to increased electromigration of Cu5. In addition, because the Cu wire is no longer firmly restrained in a rigid dielectric, failure by hillock formation (extrusion of Cu through the surrounding dielectric) is more likely to occur. Furthermore, the thermal conduction mechanism in these newly developed materials has not been studied sufficiently to assure the long lifetime of the final circuit.

Conclusions Reduction of the dielectric constant of a material can be accomplished by selecting chemical bonds with low polarizability and introducing porosity. Integration of such materials into microelectronic circuits, however, poses a number of challenges, as the materials must meet strict requirements in terms of properties and reliability. The introduction of low-k materials in microelectronics research and development is a good example of how industrial needs drive new fundamental and applied research topics in science. Examples include pore structure characterization, deposition of thin films on porous substrates, mechanical properties of porous films, and conduction mechanisms in these materials. The substantial efforts made by materials and IC researchers to integrate the low-k films and continue historical device performance improvements have contributed to, and are still leading to, innovative fundamental and applied science. MT

3. Grill, A., Thin Solid Films (1999) 355-356, 189

1. Maex, K., et al., J. Appl. Phys. (2003) 93 (11), 8793

4. Wang, Z. B., et al., Adv. Mater. (2001) 13 (10), 746

2. Rouquerol, J., et al., Pure Appl. Chem. (1994) 66, 1739

5. Lee, K.-D., et al., Appl. Phys. Lett. (2003) 82 (13), 2032

January 2004

39

dielectric materials

E-mail: [email protected]. January 2004. 34 ... integrate Cu into IC manufacturing. Changing ... research, development, and integration engineering. Replacing.

2MB Sizes 1 Downloads 238 Views

Recommend Documents

Dielectric & Magnetic Properties of Materials Tutorials 2.pdf ...
There was a problem loading more pages. Retrying... Whoops! There was a problem previewing this document. Retrying... Download. Connect more apps... Try one of the apps below to open or edit this item. Dielectric & Magnetic Properties of Materials Tu

Model for chaotic dielectric microresonators
Jan 31, 2008 - mesoscopic electronic and hybrid-superconducting devices. 36–40 , which require ... open up the system and do not allow for amplification.

Performance of dissipative dielectric elastomer ...
3Engineering Science Programme and Department of Civil and Environmental Engineering,. National University of ... (Received 4 March 2012; accepted 9 April 2012; published online 10 May 2012) .... The mechanical degree of freedom.

Model for chaotic dielectric microresonators
Jan 31, 2008 - We develop a random-matrix model of two-dimensional dielectric resonators ..... theory or a generic wave-chaotic quantum map, the quantum.

Model of dissipative dielectric elastomers - Semantic Scholar
Feb 3, 2012 - View online: http://dx.doi.org/10.1063/1.3680878. View Table of Contents: ... As a result of their fast response time and high energy density, dielectric ... transducer is an electromechanical system with two degrees of freedom.

broadband dielectric spectroscopy pdf
broadband dielectric spectroscopy pdf. broadband dielectric spectroscopy pdf. Open. Extract. Open with. Sign In. Main menu. Displaying broadband dielectric ...

Characterization of dielectric charging in RF MEMS capacitive switches
for use in wireless communication devices such as mobile phones, ..... Technology, chapter 1, page 1, John Wiley & Sons, Inc, 2003. [2] E.K. Chan, K. Garikipati, ...

Membrane dielectric responses of bufalin-induced ...
Jun 26, 2007 - However, this is the first time that ROT has been used to monitor changes in TCM-treated cell membrane ... A negative dielectrophoretic (nDEP) force was used to position the cells within the central .... line for experimental data, and

Superdipole liquid scenario for the dielectric primary ...
A great deal of experiments relevant to ... The study of relaxation phenomena by broadband dielec- ...... As another comparison with our model, let us discuss the.

Structural and Dielectric Properties of Polyaniline ...
Fig.3 Variation of Dielectric constant with temperature. This is due to the space charge accumulations at the structural interfaces of an inhomogeneous dielectric material. This resulting space charge produces distortions of the microscopic field, wh

Dielectric and Conductivity Studies on Cobalt ...
vices, electrochromism, optical data storage, LB films, liquid crystals, and ... 2400 elemental analyser, which uses a combustion. Correspondence to M. R. ...

3D printed anisotropic dielectric composite with meta ...
use and affordable. AM processes are now used ..... tion of resonant domains, their combined scattering response can pro- vide a material with almost arbitrary ...

Time and voltage dependence of dielectric charging in ...
wireless applications. Desirable aspects are the good RF char- acteristics .... than conventional CV tests. An additional advantage is that. CV-curve narrowing ...

Electrokinetic measurements of dielectric properties of ... - Springer Link
Dec 30, 2006 - C Springer Science + Business Media, LLC 2007. Abstract The ... complexities and barrier functions of cell membrane, re- spectively, and could ...

Characterization of dielectric charging in RF MEMS
Abstract— Capacitive RF MEMS switches show great promise for use in wireless communication devices such as mobile phones, but the successful application of these switches is hindered by the reliability of the devices: charge injection in the dielec

Fundamentals of plasma damage of low-k dielectric ...
chamber is also used for cleaning by H2/He plasma (RPC) at P=80 mTorr and ... The degree of damage in RPC ... reported no effect on the film, enhancement of the film properties up .... Industrial Affiliation Program on Advanced Interconnects.

DC Conductivity and Dielectric Properties in Silver ... - Semantic Scholar
Sep 28, 2009 - theory of the influence of dipoles on the dielectric constant in solution25 and the Fisher- ...... DSM wishes to thank Eugene Kotomin, Radha Banhatti, Eugene Heifets and Rotraut. Merkle for helpful discussions. JM would like to dedicat

Materials - CiteSeerX
that only if the electron donor, acceptor and chromophores were linked in a line, ... nitrogen atom) on the photocurrent generation and quantum yield has been ...

Materials - CiteSeerX
Some factors such as applied bias voltage, electron donors and acceptors on the photocurrent ... that only if the electron donor, acceptor and chromophores.

Design of Rectangular Stack Dielectric Resonator Antenna for ... - IJRIT
www.ijrit.com. ISSN 2001-5569. Design of Rectangular Stack Dielectric Resonator. Antenna for Wireless Application. Bandana Rajanandini 1 , Dr. Rajat Gupta2.

Cyclic voltammetry and dielectric studies on PbS ...
Table 1. Potassium ethyl xanthate and dextrine concentrations used on PbS by cyclic voltammetry technique ... rated calomel was the reference electrode (SCE).

Prospects of application of microwave discharges on a dielectric net ...
Some problems: necessity of flow deceleration, stable ignition in a wide range of mix flow parameters, completeness of combustion at various flow speed, stabilization in space and reduction of burning zone, etc. Page 3. MRTI. 3. Quasi-Optical Microwa