Introduction to Altera Quartus II Computer Aided Design Software and Modelsim-Altera Simulation Software Dr. Ujjal Kumar Bhowmik

Objectives: In this Lab, you will use the Altera Quartus II 12.1 ® CAD software to create a schematic diagram of your design after which you will use ModelSim-Altera 10.1 ® simulation capabilities to produce complete timing diagrams that will help you understand and verify the functionality of your design. Then you will actually implement your design in hardware by downloading it to the DE2-115 Rapid prototyping platform. Acknowledgement: The author is indebted to his teacher Dr. Earl Wells-UAHuntsville for his continuous support during the development of this EEL4712C Lab.

What is Altera Quartus II 12.1 CAD Software? The Altera Quartus II ® 12.1 CAD development software is a fully integrated programmable logic design environment. This easy-to-use tool supports virtually all of Altera ® programmable device families and works in both the Windows and Linux environments. It supports, design capture, design synthesis. ModelSim-Altera 10.1 ® is a simulation software from Mentor Graphics which support software simulation, timing analysis of the circuit created in Quartus II environment . In this class you will utilize the design capture, digital simulation, and the rapid prototyping capabilities of the Altera Quartus II ® and ModelSim simulation software. You can visit Altera website, https://www.altera.com/download/software/quartus-ii-we, to get the web based free version of Quartus II 12.1. and ModelSim-Altera 10.1 simulation software.

Getting Started There are many Quartus® II file types, three of the most common include: Block Description Files (bdf) = schematic or block diagram Block Symbols File (bsf) = symbol or schematic component Hardware Description File (tdf, vhd, v) = AHDL, VHDL, or Verilog File -- alternative higher level way of capturing your design. To complete the exercises in this course, you will be concerned mainly with creating a Block Description File (bdf) and a Hardware Description file (vhd) file. The (bdf) file is where you will place your logic schematic that represents your design. After you have created your (bdf) file you will need to compile it. After successfully compiling your project, you will need to create a vhd file of your bdf file. Since ModelSim-Alter 10.1 version cannot simulate the bdf file, you need to create the vhd file of your schematic (bdf) file. You can drive the inputs of your design (i.e. stimulate it) during its simulation and to choose which output signals you would like to view in the timing diagram.

1

Part A: Setting up the work environment 1. Before entering the Quartus II ® CAD software place your personal USB flash drive in the computer‟s USB port. This is where you are to store your design files for this lab. It is suggested that you use a USB flash thumb drive that has a capacity of 32 Mbytes or greater. Make sure that you are careful with this drive and that you exit the Quartus II ® software and properly stop the drive before you remove it from the PC or you may have loss of data. It is the student‟s responsibility to properly backup his/her flash drive. Throughout this tutorial it is assumed that Windows ® maps your flash drive to the device labeled h:. If this is not the case you should substitute the actual drive letter for the h: labeling presented here. 2. To open the Quartus II ® CAD tool package, double click with the left mouse button on the Altera Quartus II ® icon on your desktop. Note that the exact version number of Quartus II may not match the one shown here but this tutorial should still be valid for your version of the software. You will then see a welcome screen that is similar to that in Figure 1.

Figure 1

3. Next left-click on the New Project Wizard which is under the File Menu.

Figure 2

2

4. An information window similar to the one shown in Figure 3 will appear, left-click on Next to continue.

Figure 3

5. A dialog box similar to the one shown in Figure 4 will then appear. In the first field of it enter the name of the working directory such as h:\example1 as shown in the figure. Then enter the name of the project. The project contains all the pertinent information about the design. In this case enter the name comb_logic in the following field. Notice that as you enter this name it also is being entered in the bottom field where will be used to indicate your top-level design file. The Quartus II tool supports hierarchical design methodologies that allows the user to use many files to represent the entire design. In this course we will only use a single top-level design file which will have the same name as the project. This is the default so simply left-click on Next after you have entered the name of the project in the second field.

Figure 4

3

6. If the working folder (h:\example1 in this example) is not present then a warning message window will appear asking you if you want to create the file. In this case simply left-click on the Yes button to continue. The next New Project Wizard window will then give you the opportunity to add more files to the project (as shown in Figure 5). You do not want to do that at this time because you have not yet created the schematic file (you have no files to add). Simply left-click on Next to continue to the next screen.

Figure 5

7. The New Project Wizard will then display the Family & Device Setting window which will allow you to select a programmable logic device to configure with your design (i.e. load your design into). We will generally not be using such a device in this course but to make sure that all the simulations work in the same manner go ahead and select the Cyclone IV E Family, and the EP4CE115F29C7N device as shown in Figure 6. This device is the Field Programmable Logic Array, FPGA, device that will later be used to rapidly prototype your design. After the Family, and Device are selected you can exit the wizard by left-clicking on the Finish button.

4

Figure 6

Part B: Entering a Schematic Entering the Block Editor 1. After you have exited the New Project Wizard, you will want to enter the schematic diagram for the comb_logic example. To do this, first select New from the File menu. This will bring up the file type box that is shown in Figure 7. Then highlight the Block Diagram/Schematic File option and press the OK button.

Figure 7

5

2. This will bring up the Block Editor as shown in Figure 8. On the right hand side of the block editor is the location of the graphics window work area where you will create your schematic. The name of this graphics window is tentatively set by the software as Block1.bdf but this will be renamed to the name of your project when you save your schematic the first time. Double click with the left mouse button somewhere in the Block Editor’s work area to select a component to enter in your design or select the Insert Symbol option from the Edit Menu.

Figure 8

Entering Components 1. Before you can enter a component, you will need to choose it from the appropriate component library of symbols. In the previous step you brought up the Symbol Window which has a list of such library components in the left hand side of the window. To reveal the components expand upon the c:/altera/.../quartus/libraries entry by left-clicking on the symbol next to it. This will reveal the megafunctions, other, and primitives component library symbol elements where: megafunctions: (larger customizable parameterizable logic components such as multipliers, adders, decoders, multiplexers, counters, and special i/o components) others: (7400 equivalents, SSI, legacy MSI components) primitives: (gates, flip-flops, I/O pins, VCC, GND symbols, etc. Now choose a 2-input AND gate from the primitives library. You can do this by expanding the primitives library to reveal the logic sub-library as shown in Figure 9 (i.e. select c:\altera\...\quartus\libraries\primitives\logic). Once the and2 component is selected left click the OK button and then left-click the place in the graphics window work area of the Block Editor where you would like to place the component as shown in Figure 10. 6

Figure 9

Figure 10

2. In this example our design is a two-level logic schematic made up of a level made up of three 2-input AND gates that feeds into a single 3-input OR gate. Once you have selected the first AND gate you can cut-and-paste a copy in the normal windows manner. First, using your mouse, right-click on the and2 gate that you just added then scroll down and then right-click on Copy as shown in Figure 11.

Figure 11

7

3. Then choose a position below the original and2 gate, right-click, then scroll down and left click on Paste as shown in Figures 12-13 (ctrl+x and ctrl+v also work).

Figure 12

Figure 13

4. Now repeat the procedure to add a third and2 gate. You can move components by selecting the component and holding down the left mouse button while dragging. 5. Now add a 3-input OR gate (or3) to the right of the second (middle) and2 gate. Use the same procedure you used to select and add your first and2 gate. 6. Next, wire the outputs of all three and2 gates to the inputs of the or3 gate. To add the first wire, point to the output pin of the first and2 gate, click the left mouse button and hold it down while dragging the wire (line) toward the top input of the or3 gate. Once the wire is touching the or3 input pin release the mouse button. Make sure that there are no visible gaps in the wire. Figure 14 shows how your design should look after wiring the first and2 gate to the or3 gate. 8

Figure 14

7. Repeat the procedure and connect the remaining outputs of the two remaining and2 to the unattached or3 inputs. Note that components and wires may be relocated as needed by dragging them with the mouse. Defining Input and Outputs 1. Your logic design will have one or more input and outputs that define its interface with the outside world. In Quartus II ® these I/O lines must be wired-from or wired-into special components that are named input, output, or bidir. It is also desirable to give these I/O components descriptive/user defined names. To accomplish this first double click using your left mouse button on an empty space within the graphics window work area. 2. Next, select the special input component from the Altera component library. You can do this by expanding the primitives library to reveal the pin sub-library. (i.e. select c:\altera\...\quartus\libraries\primitives\pin). Then select the input component and left-click on the OK button as shown in Figure 15..

Figure 15

9

3. Then left-click on the place in the graphics window work area of the Block Editor where you would like to place the component. 4. Make five copies of the input component and connect all six of these components to the three and2 components using wires in the manner described previously. 5. Next select an output component from the same pin sub-library (i.e. select c:\altera\...\quartus\libraries\primitives\pin) 6. Connect the output component to the output of the or3 gate. When you are finished all of your inputs and outputs should be wired as shown in Figure 16.

Figure 16

7. The next step is to give user defined names to your inputs and output. For this example give your inputs the names I0 through I5 by double clicking on the “pin_name” text of each input symbol and then typing the appropriate new name. In the same manner label the output component O. If you miss the pin_name area of the input or output components but click on the component itself a Pin Properties dialog box will appear and the name can be entered in the Pin name(s): field as shown in Figure 17. In this case, just click on the OK button after entering the name. The final Schematic is shown in Figure 18.

Figure 17

10

Figure 18

8. Now you need to save the schematic (bdf) file and include it in your project. To accomplish this select Save from the File menu. This will bring up the Save As window as shown in Figure 19. Notice that the default file name is the same as the project name (comb_logic) and the Add file to current project option is automatically selected. This is what you desire so simply accept the defaults and left-click on the Save button.

Figure 19

Congratulation, you have now completed your first schematic block diagram!

11

Part C: Compiling the Project 1. After you enter your schematic you will need to compile your project before you can begin simulation. Compiling the project creates the internal information that the simulator needs to understand the logic makeup of your circuit (it also, in this case, creates a file that can be used to load the design in the selected programmable logic device but we will be only using its simulation capabilities here). To compile your project, first left-click on the icon or select the Start Compilation which can be found under the Processing menu. The compilation process will then begin and a window similar to the one shown in Figure 20 will appear.

Figure 20

2. After the compilation is complete if there are no errors you should see a window similar to that shown in Figure 21. Left-click on the OK button to continue.

Figure 21

12

Note: you can review the warnings (and any compilation errors) by clicking on the Warning (or Error) tab at the bottom of the Message window. Warnings need to be evaluated closely to determine if they are important to your design. In most cases in this class warnings can be ignored. Errors on the other hand always have to be fixed before you can proceed to the other phases of the design flow (in our case simulation). If you have a compilation error first examine the error message and if you are not sure of its meaning then ask your instructor for help. Figure 22 shows an explanation of the four warnings generated and in this case none of them will affect the simulation of our design. When compilation errors or warnings that must be fixed occur, they can be fixed by modifying the schematic (bdf) file. You can access the schematic again simply by left-clicking on the appropriate tab (comb_logic.bdf in this example) in the Quartus II ® window.

Figure 22

13

Part D: Simulating Your Design Simulation is a process in which the functionality of your design can be demonstrated using a computer without the need to actually implement the design in hardware. Simulation has the advantage that it allows you to determine the correct operation of your design without the need to prototype it in hardware. Simulation also allows you to verify timing, and to test for conditions which may be destructive if the design were actually implemented in hardware. The ModelSim-Altera 10.1 Starter Edition® software was used in the preparation of this handout. This tutorial provides a basic understanding of how to edit, compile, and simulate VHDL models using ModeSim ® from Mentor Graphics. The example that is being illustrated is a VHDL representation of an comb_logic design. The design flow should be generic enough to apply to most versions of ModelSim such as that which is installed on the PCs in the Rapid Prototyping Laboratory. Since ModelSim-Altera 10.1 Starter Edition cannot directly simulate a schematic (bdf) file, the VHDL version of the comb_logic file is first created from the Quartus II 12.1 software. The following steps are followed to create and save the VHDL version of comb_logic design: 1. Left click on file menu. Look for create HDL design from current file under Create/Update menu bar as shown in Figure 23

Figure 23

2. Left click on create HDL design from current file; The pop-up window as shown in Fig. 24 will appear on the screen. Pay attention to the location of comb_logic.vhd file. Check the VHDL radio button and click OK. 14

Figure 24

3. After successful completion of creating comb_logic.vhd file, the following confirmation message of Figure 25 will pop-up. Click OK.

Figure 25

Note: Hardware Description File (tdf, vhd, v) = AHDL, VHDL, or Verilog File can be directly simulated using ModelSim-Altera 10.1 Starter Edition® software. The following pages discuss elaborately how to use ModelSim VHDL compiler/simulatorin the Rapid Prototyping Lab.

15

To use the ModelSim VHDL compiler/simulator in the Rapid Prototyping Lab proceed as follows: Before entering the ModelSim design environment place your personal USB flash drive in the USB port. This is where you are to store your design files for this lab. It is suggested that you use a USB flash drive that has a capacity of 32 Mbytes or greater. Make sure that you are careful with this drive and that you exit the ModelSim software and properly stop the drive before you remove it from the PC or you may have loss of data. It is the student‟s responsibility to properly backup his/her flash drive. Throughout this tutorial it is assumed that the Windows operating system maps your flash drive to the device labeled g:. If this is not the case you should substitute the actual drive letter for the F: labeling presented here. After the USB flash drive has been placed in the USB port, double click on the ModelSim-Altera 10.1 Starter Edition icon, , that is on the desktop or under the programs Start menu. A “Welcome to the ModelSim” popup window will appear which can now be closed. This will reveal the main ModelSim command window that is shown in Figure 26. Simulation steps are discussed below:

Figure 26

1. The first step would be to compile the VHDL module. As for example, to compile the comb_logic.vhd file, left click on compile icon, as shown in Figure 27. A pop-up window, compile source file, will appear on the screen. Locate the folder of your saved comb_logic.vhd file in Look in: drop down list, as shown in figure 28. Make sure that in Library drop down list Work directory is selected. 16

Figure 27

Figure 28

2. Then locate the comb_logic.vhd file, as shown in Figure 29. Now left click on the Compile button and then Done button. Observe the changes in transcript window.

Figure 29

17

3. Now left click on the Simulate Icon, as shown in Figure 30. A pop up window will appear on the screen. Select comb_logic.vhd file in the Work directory, as shown in Figure 31. Left click on OK button. Then left click on ModelSim icon as shown at the bottom on the screen. Three options will pop up, as shown in Figure 31. Left click on the Transcript option. Observe the VSIM 3> command prompt on the transcript window as shown in Figure 32. Now several command line instructions will be written sequentially on the command prompt to perform the desired simulation. There are dozens of ModelSim commands but the ones we are interested in are force, run, add list and add wave.

Figure 30

Figure 31

18

Figure 32

Note that any of the commands in a command file can be interactively entered at the VSIM command prompt in the ModelSim window. First we should select the signals we wish to monitor in the simulation (in our example here the Io, I1, I2, I3, I4, and I5 input signals and O output signal). To monitor these signals in a textural manner we must first enter the following command in the transcript area: VSIM 3> add list I0 I1 I2 I3 I4 I5 O (Note: To monitor the same set of signals as a graphical waveform use the command: VSIM 3> add wave I0 I1 I2 I3 I4 I5 O This will be discussed more fully later in this tutorial) Figure 33 shows the initial textual simulation output window. To simulate the comb_logic design a set of force commands can be entered in to the transcript are of the ModelSim ®. These are shown below: force force force force force force

I0 '0' 0 ns, '1' 80 ns, '0' 160 ns I1 '1' 0 ns, '0' 160 ns I2 '0' 0 ns, '1' 240 ns, '0' 320 ns I3 '0' 0 ns, '1' 160 ns, '0' 320 ns I4 '0' 0 ns, '1' 400 ns, '0' 480 ns I5 '0' 0 ns, '1' 400 ns, '0' 560 ns 19

Figure 33

The first statement will cause the input I0 to take on the value of „0‟ at time 0 ns, and then force it to „1‟ at 80 ns, and then again force it to „0‟ at time 160 ns. Similarly the second statement causes I1 to take on the value of „1‟ at tome 0 ns, and then forces it to „0‟ at 160 ns. Similarly other statement forces other signals accordingly. Once the input stimulus is specified the simulation can be executed. To run the simulation for a duration of 1 us then one would simply enter the run command in the transcript area as shown below:

run 1 us A list window will then be created that displays the logic values associated with the inputs and output in a textural form as shown in Figure 34 and in a graphical form as shown in Figure 35. In graphical view (i.e. in wave window) the zoom out icon (negative magnifying glass) was used to view the entire waveform in a single window There are many options for viewing these waveforms graphically, which include, zooming in and out, setting the radix of the signal buses and expanding the signals into their binary equivalents. Another command that is useful is the restart –f command that resets the simulation to the beginning. This is useful when experimenting with the effects of different input stimuli. There are many options for viewing the simulation state so the student is encouraged to read additional documentation and experiment on their own!

20

Figure 34

Figure 35

21

Part E: Downloading the Design to Configure the DE-2 Rapid Prototyping Platform. 1. After simulation, it is often desirable to actually implement the design in programmable logic. The DE2-115 Rapid Prototyping Board contains a medium sized Field Programmable Gate Array, FPGA, that will be used to implement simple digital designs that range in size from a few gates to designs that are as complex as a system of embedded microprocessors. In this example, the inputs to the design can be driven, using external switches, and the output can be made to drive a single discrete LED. The DE2115 board documentation specifies the pin numbers of the Cyclone IV E FPGA that are connected to the switches and the LEDs. In this example, lets assume that signals I0 through I5 are connected to Switch 0 through switch 5 (SW0 -- SW5) of the DE2 board and that the output, O, is connected to the green LED number 8 (LEDG8). Power Supply

USB Connector to PC

On/Off Button Cyclone IV E FPGA EP4CE115F29C7N

Switch in Run Position Output O, LEDG8

(Inputs I0…I5) Figure 36. DE2-115 Board

To enable the configuration of the DE-2 board, one must plug in its power supply cable, and plug in the USB cable to the port marked in Figure 36 (the other end of the cable must be inserted into the USB port of the computer that is running the Quartus II software). It is also important to make sure that the On/Off button is in the On position and that the Run/Prog switch is in the Run position. 2. Before the design can be downloaded into the Cyclone IV E FPGA, one must assign the logical names of the inputs and output to the corresponding pins that are connected to the switches and the LED. The DE2-115 manual has a complete listing of all of the pin connections that have been made between the Cyclone IV E FPGA and the other peripherals that are present on the DE2-115 board. The DE2-115 user manual is also posted on the Blackboard as DE2-115 User Manual. 22

As part of the project setup at the beginning of this tutorial, we chose the specific Cyclone IV E FPGA device. In this step we will choose the specific pin numbers to associate with the logical I/O pins. To accomplish this, first select Pin Planner, from the Assignments menu as shown in Figure 37.

Figure 37

3. This will launch the Planner Window, as shown in Figure 38. The Node Name fields at the bottom of this window will contain the name that was assigned by the user to the INPUTS and OUPUT connector components. The Location field will be empty. This is where the user is allowed to place a specific external pin number of the FPGA that is to be associated with the logical input/output of the design. If the user does not enter a pin number, then the Quartus II software will select the pin numbers itself which is often undesirable. In this example the pin numbers that correspond to the six switches, and the single LED are shown below. The user should enter these pin numbers and then proceed back to the main Quartus II window to recompile the project. 4. To re-compile your project, left-click on the icon or select the Start Compilation which can be found under the Processing menu. The compilation proceeds in the same manner as it did when the project was initially compiled. After the compilation has completed, the DE2-115 Cyclone IV E device can now be configured. 5. To configure the DE2-115 board with the example design, click on the icon, or select the Programmer option from the Tools menu. This should launch a Programmer window as shown in Figure 39.

23

Figure 38

Figure 39

24

6. The window (Fig. 39) has a File field that should show the top-level file name of your project (but with a .sof extension). It also has a Device field that should match the EP4CE115F29 Cyclone IV E device that you have selected when you set up the project. There is also a Program/Configure box that should be checked. At the top of the window is a Hardware Setup button (Figure 40). If the box next to does not read USB-Blaster, then one will have to click on the Hardware Setup button before the DE2-115 can be programmed. (If the text next to the Hardware Setup button reads USB-Blaster, the programming driver does not need to be setup again. In this case, simply skip to step 8 and proceed).

Figure 40

7. From the Hardware Setup window, choose, USB-Blaster, from the Currently selected hardware: list. Then click on the Close button. This should result in the Programmer window now be displayed again. 8. The Programmer window should now recognize the USB-Blaster and should have its Start button enabled. If the start button is not enabled make sure that the Program/Configure box is checked (as shown in Figure 41), then the DE2-115 board is turned on and properly connected to the PC through the USB cable as mentioned previously. Then click on the Start button to configure the DE2-115. Now Quartus II will start downloading the design in to the DE2-115 Board (as shown in Figure 42 that the 14% of the downloading is completed, wait until 100% is done). The LEDs on the DE2115 Board will flicker and after a few seconds the device should be configured. If there are problems with the configuration, error messages will appear in the status area at the bottom of the man Quartus II window. In this example, the design should now reside in the DE-2‟s Cyclone II device, switches SW5-SW0 should control the design causing, LEDG8 to light up in the manner dictated by the Boolean function. 25

Figure 41

Figure 42

26

Introduction to Altera Quartus II & ModelSim.pdf

Introduction to Altera Quartus II & ModelSim.pdf. Introduction to Altera Quartus II & ModelSim.pdf. Open. Extract. Open with. Sign In. Main menu.

2MB Sizes 2 Downloads 153 Views

Recommend Documents

Altera brings OpenCL to FPGAs
Uses OpenCL C extensions (adds parallelism to C). - Includes API (open standard for different devices). ▫ Targets heterogeneous systems. - Performance via hardware acceleration. ▫ The consortium (short list):. - Apple, Altera, AMD, Broadcom, Khro

Lei 375_05 - Altera o Art 246 do CTM.pdf
There was a problem previewing this document. Retrying... Download. Connect more apps... Try one of the apps below to open or edit this item. Lei 375_05 ...

Lei 375_05 - Altera o Art 246 do CTM.pdf
Lei 375_05 - Altera o Art 246 do CTM.pdf. Lei 375_05 - Altera o Art 246 do CTM.pdf. Open. Extract. Open with. Sign In. Main menu. Displaying Lei 375_05 ...

DN549 - Powering Altera Arria 10 FPGA and Arria ... - Linear Technology
Introduction. FPGA development kits allow system developers to evaluate an FPGA without having to design a complete system. Figures 1 and 2 show Altera's ...

Altera Stratix IV FPGA Interface for LTM9011 ADC ... - Linear Technology
I/O Architecture of Stratix IV FPGA. The Stratix GX device includes a built-in serializer/dese- rializer (SERDES) circuit that supports high speed LVDS interfaces at data rates of up to 1.6Gbps. Pin assignment is important in Stratix IV FPGA LVDS app

Altera University Program Basic Computer Manual - FTP Directory ...
The DE1 Basic Computer has three types of memory components: SDRAM, ... An SRAM Controller provides a 32-bit interface to the static RAM (SRAM) chip on ...

pdf-1453\introduction-to-psychoneuroimmunology-introduction-to ...
Retrying... Download. Connect more apps... Try one of the apps below to open or edit this item. pdf-1453\introduction-to-psychoneuroimmunology-introduction-to-psychoneuroimmunology-.pdf. pdf-1453\introduction-to-psychoneuroimmunology-introduction-to-

Unit I: Introduction to Interfacing Unit II: Legacy DOS ... -
Define Machine cycle with respect to microprocessor? 6. What do we ... Explain batch file? 5. What is ... What is PSP? Draw and explain the structure of PSP? 3.

Unit I: Introduction to Interfacing Unit II: Legacy DOS ... -
List feature of 8086 microprocessor? 2. List default offset pare of segment registers in 8086? 3. Why address and data bus are multiplexed in microprocessors?

INTRODUCTION TO MATLAB
7.1 Solve a Linear System . .... a sequence of Matlab commands that will be executed from top to bottom just as if you had typed them on the command ... There is also a wealth of information under Help Desk in the Help menu of Matlab's ...

Introduction to HTML
HTML tags are used to mark-up HTML elements. ○ HTML tags are surrounded by the two characters < and >. ○ The surrounding characters are called angle ...

INTRODUCTION TO MATLAB
Get on a department PC or buy Student Matlab for your own machine and start the .... x=0:h:20; % build an array of points [0,h,2h,...,20] ..... looks good. ... Note: the example in the box below is available on the Physics 330 course website, as.

Introduction to Lab 2
Sep 15, 2010 - http://www.it.uu.se/edu/course/homepage/realtid/ht10/lab2. Lab report ... Based on OSEK (industry standard for automotive embedded systems).

Introduction to Algorithms - GitHub
Each cut is free. The management of Serling ..... scalar multiplications to compute the 100 50 matrix product A2A3, plus another. 10 100 50 D 50,000 scalar ..... Optimal substructure varies across problem domains in two ways: 1. how many ...

Introduction to HTML
HTML tags are used to mark-up HTML elements. ○ HTML tags are surrounded by the two characters < and >. ○ The surrounding characters are called angle ...

Introduction to Java Programming
LiveLab is a programming course assessment and management system. Students can .... B MySQL Tutorial. C Oracle Tutorial. D Microsoft Access Tutorial. E Introduction to Database Systems. F Relational Database Concept. G Database Design ...... In 1954,

Introduction to R - GitHub
Nov 30, 2015 - 6 Next steps ... equals, ==, for equality comparison. .... invoked with some number of positional arguments, which are always given, plus some ...

Introduction To DCA - GitHub
Maximum-Entropy Probability Model. Joint & Conditional Entropy. Joint & Conditional Entropy. • Joint Entropy: H(X,Y ). • Conditional Entropy: H(Y |X). H(X,Y ) ...

Introduction to Java Programming
problem-driven complete revision new problems early console input hand trace box multidimensional arrays. Sudoku problem simplified basic GUI earlier .... T Networking Using Datagram Protocol. U Creating Internal ..... the outset, it is helpful to re

NR220105 II B.Tech II Semester Supplementary Examinations ...
(b) One bag contains 4 White balls and 3 black balls and a second bag contains 3 ... [8+8] · 3. (a) The amount of bread ( in hundreds of pounds) X that a certain ...

NR220401 II B.Tech II Semester Supplementary Examinations ...
(a) Job order production and batch production system. (b) Mass production and flow production. [4+4+4+4] · 7. Define“Critical path”, “slack time”and “Dummy ...

NR221801 II B.Tech II Semester Supplementary Examinations ...
(c) Explain sign conventions of heat and work in thermodynamics. [5+5+6] · 3. (a) State and explain second law of thermodynamics. (b) Define and explain ...

NR220403 II B.Tech II Semester Supplementary Examinations ...
b=4.318 cm is fed by a 4 GHz carrier from a coaxial cable. Determine if a · TE10 mode will be propagated. If so calculate the phase velocity and group · velocity.