Quasi-Resonant Interconnects: A Low Power Design Methodology Jonathan Rosenfeld and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester Rochester, New York 14627-0231 Abstract — Design and analysis guidelines for resonant interconnect networks are presented in this paper. The methodology focuses on developing an accurate analytic distributed model of the on-chip interconnect and inductor to obtain low power and low latency. Excellent agreement is shown between the proposed model and SpectraS simulations. The analysis and design of the inductance, the insertion point, and the driver resistance for minimum power consumption is described. A case study demonstrates the design of a resonant interconnect, transmitting a 5 Gbps data signal along a 5 mm line in a TSMC 0.18 µm CMOS technology. As compared to classical repeater insertion, an average reduction of 94.8% and 72.8% is obtained in power consumption and delay, respectively. As compared to optical links, a reduction of 98.5% and 60% is observed in power consumption and delay, respectively. Index Terms— Resonance, on-chip interconnects, on-chip inductors, power dissipation, latency.

I. INTRODUCTION

A

primary challenge in high performance, high complexity integrated circuit design is the on-chip interconnect [1]. Transmitting clock, data, and communications signals over increasing silicon die area requires long interconnections among the various circuit modules. Consequently, as technology scales, the interconnect cross section decreases while the operating frequency increases. The impact of these trends on high performance systems is significant. Long interconnects with smaller cross sections exhibit increased capacitance and resistance, resulting in increased power consumption, latency, and signal attenuation. Furthermore, wire inductance can no longer be ignored, due to higher signal frequencies and longer wire lengths. To combat these phenomena, traditional repeater insertion methods have been developed [2]. Low power techniques in the form of low swing signaling and optoelectronic links [3] have been considered. In [4], current mode signaling in an ultra low voltage environment is used to transmit high data rate signals. To improve both delay and energy dissipation, a transmitter generating a differential current sensed by a cur* This research is supported in part by the Semiconductor Research Corporation under Contract No. 2003-TJ-1O68 and 2004-TJ-1207, the National Science Foundation under Contract Nos. CCR-0304574 and CCF-0541206, grants from the New York State Office of Science, Technology & Academic Research to the Center for Advanced Technology in Electronic Imaging Systems, and by grants from Intel Corporation, Eastman Kodak Company, Manhattan Routing, and Intrinsix Corporation.

1-4244-0921-7/07 $25.00 © 2007 IEEE.

rent mode sense amplifier receiver has been proposed in [5]. To minimize static power dissipation associated with current mode signaling, an adaptive bandwidth bus architecture based on hybrid current voltage mode repeaters for driving long RC interconnect has been proposed in [6]. Contrary to the current mode signaling approach, the authors of [7] suggest the use of low voltage signaling over long on-chip interconnects with repeaters. Also in [7], a heuristic algorithm for buffer insertion that accounts for noise, delay, and power is proposed. A different approach exploiting wire inductance at high frequencies is introduced in [8]. In this scheme, a 1 GHz link operating with phase shift keying modulation on a 7.5 GHz sinusoidal carrier is presented. This type of modulation, however, results in relatively large power dissipation and poor spectral efficiency. Alternatively, the authors of [9] propose to mitigate dispersion by utilizing a return to zero (RZ) signaling scheme in which sharp current pulses are used to transmit data. In this paper, a low power, low latency on-chip interconnect design methodology is proposed. The methodology is based on inserting on-chip spiral inductor in order to resonate the interconnect capacitance around the fundamental harmonic of the transmitted signal. This approach lowers the power consumption, since the energy resonates between the electric and magnetic fields rather than dissipated as heat. This paper is organized into five sections. The interconnect and spiral inductor model as well as related design guidelines are described in section II. In section III, a case study is presented for a 5 mm long on-chip interconnect. Simulation results and a comparison to other schemes are presented in section IV. Finally, some conclusions are offered in section V.

II. DESIGN METHODOLOGY

The methodology is based on a shielded interconnect with a capacitive load, driven by a buffer such that the fundamental harmonic of the input bit stream is transferred to the output. Due to resonance, the output signal at the far-end exhibits a sinusoidal behavior. An inverter is placed at the far-end to convert the sinusoidal waveform into an inverted square bit stream. An on-chip spiral inductor resonates the data signal around the harmonic frequency, eliminating the need for repeaters and complex circuitry at the transmitter and receiver ends. As illustrated in Figure 1, the return to zero (RZ) amplitude shift keying modulation scheme is chosen to support a single targeted transmission frequency of the input data. In this scheme, the data is transferred at 1/tp bits per second. The scheme has three advantages when applying it to the proposed 641

quasi-resonant interconnect methodology. The transmitted signal has a single frequency (with one amplitude variation, i.e., Vdd and 0 volts), designed to match the resonance frequency of the network. Moreover, power is dissipated only when the logic 1 state is transmitted, and only during half of the time period. Finally, no complex circuitry is required to produce the modulation scheme.

1

1

0

the coefficient K. The parasitic capacitance between the lines and the substrate is modeled by Cox, and the parallel Csub and Rsub combination models the parasitic resistance and capacitance to the substrate. To analyze the structure shown in Figure 2, an accurate analytic model is developed based on ABCD parameters [10]. From transmission line theory, the ABCD matrix for the entire structure is a product of the individual matrices,

1

!A B" #C D $ = M 1 ⋅ M s ⋅ M 2 ⋅ M l , % &

VDD

t

tr

tp

Figure 1. Example of transmitting a “1011” bit stream

The model of the resonant interconnect network is shown in Figure 2. The interconnect is represented by a distributed RLC transmission line, where l is the interconnect length, and R, L, and C are the resistance, inductance, and capacitance per unit length, respectively. The driver is linearized as a voltage source Vd serially connected with a driver resistance Rd. The load of the interconnect is modeled as a capacitor Cl. Note that the on-chip inductor is inserted at a distance ld from the driver to resonate the data signal at a target transmission frequency. Rd

Ls

RLC

Cl

l

where M1, Ms, M2, and Ml are the ABCD matrix of the first interconnect section, the on-chip inductor (based on the model of Figure 3), the second interconnect section, and the load capacitance, respectively. The transfer function and input impedance of the system are extracted from the overall ABCD parameters,

Rd

Cp

Rskin Lseries

Lskin Rac

A C

.

(3)

iin(t)

Reddy Rsub2

Zin

Network

-

The rate at which energy is absorbed is the power and is [11]

Cox2

Leddy

~

vin(t)

Figure 4. One-port network driven by a voltage source



K

Csub1

(2)

,

+

vd(t)

Cox1

A

Z in ( jω ) = Rin + jX in =

Figure 2. Resonant transmission line network



1

H ( jω ) =

Since the resonant interconnect network is a passive linear network, a one-port network, as depicted in Figure 4, can be considered. The output impedance of the driver Rd and the input impedance of the network Zin determine the power consumption of the network.

RLC

ld Vd

Rsub1

(1)

' 1 ( 1 Rin 1 Pavg = Vin2, rms ⋅ ℜ ) * = Vd2, rms ⋅ , Z 2 2 ( Rin + Rd )2 + X in2 + in ,

Csub2

Figure 3. Lumped model of the on-chip inductor

A lumped model of the on-chip inductor is shown in Figure 3. To accurately account for the parasitic effects of the on-chip spiral inductor, a thirteen lumped element model is used. The capacitance Cp represents the capacitive coupling between the windings of the spiral inductor. The elements Lseries and Rac represent the inductance and parasitic resistance, respectively, while Rskin and Lskin model the skin effect. Also note that Lseries incorporates the eddy current effect coupled to the inductor by

(4)

where Vin,rms and Vd,rms are the effective or root-mean square value of the input signal and the driving signal, respectively. Based on (4), the on-chip inductor, the insertion location ld, and the maximum driver resistance are determined to minimize power consumption. These values are extracted by graphically solving (4) so as to satisfy a full swing data bit stream at the far end of the line.

III. CASE STUDY In this section, a 5 Gbps 5 mm long resonant transmission link network is assumed as illustrated in Figure 5. The design

642

guidelines and principles presented in section II are demonstrated in this case study. This example is based on a TSMC 0.18 µm CMOS technology. The resistance, inductance, and capacitance per unit length of the transmission line are extracted using HENRYTM and METALTM, while the on-chip octagonal inductor model parameters are extracted using SPIRALTM from the OEA software suite [12]. Input data n Sig

al

B •

S hie

g ldin

On-chip inductor

B

Output data

A •



1.5 Model Simulation

Figure 5. Layout of a resonant transmission line network

Location [µm]

10

15

20

25

30

35

40

45

50

0

5

10

15

20 25 30 Frequency [GHz]

35

40

45

50

Figure 7. Frequency response of the transfer function: (a) Magnitude, (b) Phase 2 1.5 1

(a)

0.5 0

0.5

1

1.5

2

2.5

2 1

2

3

4

5

6

7

8

9

10

4000 (b)

3000

1.5 1

(b)

0.5 0

1

2

3

4

5

6

7

8

9

10

200 Rd [ Ω ]

-1500

0

5000

-0.5

0

0.5

1

1.5

2

2.5

time ns

Figure 8. Ten bits data stream example: (a) Input data, (b) Output data

150 (c)

100 50

5

-1000

(a)

1.5

0

-500

2

2000

0.5

0

2.5

1

1

0

Amplitude v

Min. Power [mW]

In this case study, the interconnect is shielded by ground lines. The separation between the signal and ground lines is 2 µm. The width of the signal and ground lines is 2 µm and 4 µm, respectively, while the thickness of each of the lines is 1 µm. In this example, the interconnect parameters (including the shield lines) are l = 5 mm, R = 17 mΩ/µm, L = 1.66 pH/µm, and C = 0.072 fF/µm. The minimum power consumption as a function of the onchip inductance, using (4), is shown in Figure 6(a). In this graph, each point represents the minimum power consumption achieved for a particular inductor. The corresponding insertion point and maximum driver resistance as a function of the onchip inductance are shown in Figure 6(b) and 6(c), respectively. As evident from Figure 6, the minimum power dissipation occurs when an inductance of Ls = 7.5 nH, inserted at ld = 4.1 mm, and a driver resistance of Rd = 191 is used. In this case, the power consumption is about 1.23 mW.

|H'(jw)|

A •

ld

∠H'(jw)

Shie

Amplitude v

Metal 6

ing

and phase of the transfer function are achieved, exhibiting a maximum error of 22% and 3.6%, respectively. Also note that at a 5 GHz frequency, the magnitude of the transfer function is near resonance, here described as quasi-resonance. The simulated input and output data signal described in the time domain is shown in Figure 8. Note that the square data waveform is distributed to the far-end, achieving a full rail-torail voltage swing. In this example, a “1000101111” bit stream is transmitted at 5 Gbps. Since a buffer is located at the farend of the link, the output data is inverted, as shown in Figure 8(b). The power consumption per (logic high) bit is 1.17 mW, while the 50% signal delay is 104 psec.

1

2

3

4

5 6 Inductor [nH]

7

8

9

10

IV. SIMULATION RESULTS AND COMPARISON

To evaluate the proposed methodology and compare it to a traditional buffer insertion method, a 5 Gbps data signal and 0.5, 1, 3, 5, and 10 mm length interconnects are considered, as listed in Table 1. Note that in a 0.18 µm CMOS technology, it is a challenge to design repeaters to drive long interconnects at The magnitude and phase of the transfer function of a frequencies as high as 5 GHz. Hence, signal integrity in this transmission line network are depicted in Figure 7 in the fre- repeater insertion case has been compromised for the sake of quency domain. Note that good agreement between simula- this comparison. From Table 1, the average reduction in power tions and the proposed analytic expressions for the magnitude consumption and delay is 94.8% and 72.8%, respectively. The 643 Figure 6. A design example of a 5 mm long interconnect operating at a 5 Gbps transmission frequency: (a) The minimum power as a function of inductance, (b) Insertion location as a function of inductance, and (c) Driver resistance as a function of inductance

performance improvement is due to the repeater-less nature of the quasi-resonant interconnect. A comparison between the proposed methodology and other approaches in the literature are listed in Table 2. Interestingly, a maximum reduction in power consumption of 98.5% and a 60% delay reduction occurs as compared to optoelectronic links [3]. The power consumption overhead in [3] is due to the edge emitting laser modulator at the transmitting edge and the photodiode and signal level restorer at the receiving end of the optical link. This example may suggest that using novel signaling schemes incorporating electrical interconnects outperforms optoelectronic solutions. These results are obtained despite the optical link transmitting a slower signal (3 Gbps) as compared to the resonant link (5 Gbps). As listed in Table 2, the proposed quasi-resonant interconnect methodology outperforms other approaches described in the literature in both power and latency.

model of an on-chip spiral inductor to represent high frequency effects. The high accuracy of the model enables the design of low power, low latency resonant communication links. The methodology can be used to determine the inductance Ls, insertion point ld, and driver resistance Rd that minimizes power consumption. From the comparison listed in Tables 1 and 2, quasiresonant interconnects outperform other technologically aggressive approaches. For buffered lines, an average reduction of 94.8% and 72.8% is obtained in power consumption and delay, respectively. As compared to optical links, a reduction of 98.5% and 60% is observed in power consumption and delay, respectively (excluding the transmitter and receiver circuits). These results show that quasi-resonant interconnects exhibit superior performance, suitable for high performance, high complexity integrated circuits.

REFERENCES

Table 1. Comparison of power consumption and delay

Length [mm] 0.5 1 3 5 10

Repeater insertion

This work

[1]

Improvement

Power [mW]

Delay [ps]

Power [mW]

Delay [ps]

Power %

Delay %

0.48 0.57 0.75 1.17 1.82

39 41 76 104 158

5.92 10.79 16.87 25.20 51.20

104 160 255 368 1100

91.9 94.7 95.6 95.4 96.4

62.5 74.4 70.2 71.7 85.6

[2]

[3]

[4]

Table 2. Performance comparison of quasi-resonant method with different approaches

This work Pulsed current [8] Improvement This work Signal modulation [9] Improvement This work Optics (edge emitting) [3] Improvement This work Optics (VCSEL) [3] Improvement This work Loss compensation [13] Improvement

5

Length [mm] 3

Power [mW] 0.75

Delay [ps] 76

8

3

27.12

280

97.2% 3.7

72.8% 231

Technology 180 nm

Speed

180 nm

[Gbps]

180 nm

5

17

180 nm

1

20

16

300 23.0% 104

180 nm

5

5

76.9% 1.17

250 nm

3

5

78

260 60.0% 104

180 nm

5

5

98.5% 1.17

250 nm

3

5

66

300

180 nm

5

15

98.2% 3.07

65.3% 212

180 nm

3

14

6

140

48.8%

-34%

[5]

[6]

[7]

[8]

[9]

[10] [11] [12] [13]

V. CONCLUSIONS A methodology is described in this paper for designing quasi-resonant interconnect networks. An accurate model is presented based on transmission line theory and a lumped

644

The International Technology Roadmap for Semiconductors (ITRS), 2006 Y. I. Ismail and E. G. Friedman, "Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 8, No. 2, pp. 195-206, April 2000 E. D. Kyriakis-Bitzaros, N. Haralabidis, M. Lagadas, A. Georgakilas, Y. Moisiadis, and G. Halkias, “Realistic End-to-End Simulation of the Optoelectronic Links and Comparison with the Electrical Interconnections for System-on-Chip Applications,” IEEE Journal of Lightwave Technology, Vol. 19, No. 10, pp. 1532-1542, October 2001 A. Valentian and A. Amara, “On-Chip Signaling for Ultra LowVoltage 0.13 µm CMOS SOI Technology,” Proceedings of the IEEE Northeast Workshop on Circuits and Systems, pp. 169-172, June 2004 N. Tzartzanis, and W. W. Walker, “Differential Current-Mode Sensing for Efficient On-Chip Global Signaling,” IEEE Journal of Solid-State Circuits, Vol. 40, No. 11, pp. 2141-2147, November 2005 R. Bashirullah, W. Liu, R. Cavin, and D. Edwards, “A Hybrid Current/Voltage Mode On-Chip Signaling Scheme With Adaptive Bandwidth Capability,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 12, No. 8, pp. 876-880, August 2004 I. Ben Dhaou, V. Sundararajan, H. Tenhunen, and K. K. Parhi, “Energy Efficient Signaling in Deep Submicron CMOS Technology,” Proceedings of the IEEE International Symposium on Quality Electronic Design, pp. 319-324, March 2001 R. T. Chang, N. Talwalker, C. P. Yue, and S. S. Wong, “Near Speed-of-Light Signaling Over On-Chip Electrical Interconnects,” IEEE Journal of Solid-State Circuits, Vol. 38. No. 5, pp. 834-838, May 2003 A. P. Jose, G. Patounakis, and K. L. Shepard, “Near Speed-of-Light On-Chip Interconnects Using Pulsed Current-Mode Signaling,” Proceedings of the IEEE Symposium on VLSI Circuits, pp. 108-111, June 2005 D. M. Pozar, Microwave Engineering, Addison-Wesley Publishing Company, 1990 M. E. Van Valkenburg, Network Analysis, Prentice-Hall Inc., 1974 http://www.oea.com A. P. Jose and K. L. Shepard, “Distributed Loss Compensation for Low-latency On-Chip Interconnects,” Proceedings of the IEEE International Solid-State Circuits Conference, pp. 392-393, February 2006

Quasi-Resonant Interconnects: A Low Power Design ...

In this paper, a low power, low latency on-chip interconnect design methodology is ... man Kodak Company, Manhattan Routing, and Intrinsix Corporation. 641.

237KB Sizes 0 Downloads 231 Views

Recommend Documents

Low-power design - IEEE Xplore
tors, combine microcontroller architectures with some high- performance analog circuits, and are routinely produced in tens of millions per year with a power ...

A Low Power Design for Sbox Cryptographic Primitive ...
cations, including mobile phones, cellular phones, smart cards, RFID tags, WWW ..... the best of our knowledge, there has never been pro- posed such an ...

A Case Study in Low-Power System-Level Design - Computer Design ...
various problems within the design process[8]. In this case, we focus on the methods used to reduce power requirements in the design of a computer peripheral.

Semicustom Design Methodology of Power Gated Circuits for Low ...
design methodology for power gated circuits that allows unmodi- fied conventional ... standby mode, alternative elements, which are capable of state retention, must ..... leakage sources are M1, M3, and the nMOS of the inverter with. M3 is less leaky

Experimental exploration of ultra-low power CMOS design space ...
approach for energy efficient high performance computing[ 1,2,3]. However, V, scaling is ultimately limited by increasing subthreshold leakage current.

High-Level Low-Power System Design Optimization - Cadence
an industrial high-level low-power design methodology that enables ..... Cadence. Joules™. RTL. Power. Solution, https://www.cadence.com/content/cadence-.

Chapter 1 LOW-POWER DESIGN OF TURBO ...
COMPILERS AND OPERATING SYSTEMS FOR LOW POWER π. C1. C2 s c1 c2. I. U encoder decoder channel π ... new scheme, called turbo coding [2], has enabled reliable data transmission at very low signal-to-noise ratios. Since it ...... Decoder Design for W

Design Note 1034: Low Power, Precision Op Amp ... - Linear Technology
L, LT, LTC, LTM, Linear Technology and the Linear logo are registered trademarks of Linear ... data sheet SNR, THD and offset performance with very low power ...

Coupling-Driven Bus Design for Low-Power Application-Specific ...
wire-to-wire spacing is shrinking for higher densities and the as- ... ing wire widths. For example of metal 3 layer in typical 0.35 µm. CMOS process, the lateral component of capacitance reaches 5 times the sum of fringing and vertical components w

Basics of Low Power Circuit and Logic Design
Full-motion video display. Portable Functions ..... Ultra Low Power System Design. Technology .... on VLSI Circuits),. [Mutoh93] (International ASIC Conference) ...

Low-power cmos digital design with dual embedded ... - IEEE Xplore
by 57% without degrading circuit performance compared to a conventional CMOS design. Index Terms—Adaptive power-supply system, clustered voltage.

Low-Power Cmos Design through V/sub th/ Control and Low-Swing ...
Low-Power CMOS :Design through V, Control and Low-Swing Circuits. Takayasu Sakurai *, Hiroshi Kawaguchi * and Tadahiro Kuroda**. *) Institute of Industrial ...

Low Power Radio
locations, such as the office or home base, by telephone. Digital technology also ... A number of LPR manufacturers exist in the United States (see Appendix B).

Competition: Towards Low-Latency, Low-Power Wireless ... - EWSN
Beshr Al Nahas, Olaf Landsiedel. Department of Computer Science and Engineering. Chalmers University of Technology, Sweden beshr, olafl @chalmers.se.

Design of A Low Power 16-Bit RISC Processor
[8] Wallace Tree Multiplier for RISC Processor”, 3rd InternationalConference on Electronics Computer. Technology- ICECT 2011. [9] K. Nishimura, T. Kudo, and H. Amano, “Educational 16-bit microprocessor PICO-16,” Proc. 3rd Japanese. FPGA/PLD des

low power and low complex implementation of turbo ...
It consists of two recursive systematic encoders which are ... second encoder the interleaved version of the ... highly undesirable in the high data rate coding.

A Comparative Study of Low-Power Techniques for ...
TCAM arrays for ternary data storage, (ii) peripheral circuitry for READ, WRITE, and ... These issues drive the need of innovative design techniques for manufacturing ..... Cypress Semiconductor Corporation, Oct. 27, 2004, [Online], Available:.

A Charge-Based Low-Power High-SNR Capacitive ...
charge amplifier only consumes 1 W to achieve the audio band. SNR of 69.34 dB. ... 1. Capacitive sensing diagrams. (a) In a typical two-chip hybrid approach, the small variance of ...... in 2000 and the M.S. degree from the Georgia Insti- ... Dr. Has

A Low-Power, Compact, Adaptive Logarithmic ...
solution where the bias current of the amplifier is adjusted has been proposed in [3]. But the ..... For the analytic derivation, we only consider the thermal noise ...

A Floating-gate Based Low-Power Capacitive Sensing ...
School of Electrical and Computer Engineering. Georgia Institute of ... circuits [4], we present our auto-zeroing capacitive sensing interface circuit ..... 2883-7, 2000. [8] J. Knight, J. McLean, and F.L. Degertekin, “Low Temperature Fabrication.

LM386 Low Voltage Audio Power Amplifier (Rev. A)
... is not necessarily performed. TI assumes no liability for applications assistance or customer product design. Customers are responsible for their products and.